OpenCores
URL https://opencores.org/ocsvn/modular_oscilloscope/modular_oscilloscope/trunk

Subversion Repositories modular_oscilloscope

[/] [modular_oscilloscope/] [trunk/] [hdl/] [epp/] [eppwbn.vhd] - Diff between revs 50 and 54

Show entire file | Details | Blame | View Log

Rev 50 Rev 54
Line 90... Line 90...
  -- TEMPORAL
  -- TEMPORAL
  --epp_mode_monitor <= s_epp_mode;
  --epp_mode_monitor <= s_epp_mode;
 
 
 
 
        -- Conexión del módulo de control
        -- Conexión del módulo de control
        U1:  eppwbn_ctrl
        U_EPPCTRL:  eppwbn_ctrl
                port map (
                port map (
                        nStrobe => s_ctr_nStrobe,
                        nStrobe => s_ctr_nStrobe,
                        Data => Data,
                        Data => Data,
                        nAck => s_ctr_nAck,
                        nAck => s_ctr_nAck,
                        PError => s_ctr_PError,
                        PError => s_ctr_PError,
Line 111... Line 111...
                        rst_pp => s_rst_pp,
                        rst_pp => s_rst_pp,
                        epp_mode => s_epp_mode
                        epp_mode => s_epp_mode
        );
        );
 
 
        -- Conexión de módulo multiplexor
        -- Conexión de módulo multiplexor
        U2:  eppwbn_epp_side
        U_EPPPORT:  eppwbn_epp_side
                port map (
                port map (
                        epp_mode => s_epp_mode,
                        epp_mode => s_epp_mode,
 
 
                        ctr_nAck => s_ctr_nAck,
                        ctr_nAck => s_ctr_nAck,
                        ctr_PError => s_ctr_PError,
                        ctr_PError => s_ctr_PError,
Line 141... Line 141...
                        nSelectIn => nSelectIn,
                        nSelectIn => nSelectIn,
                        nStrobe => nStrobe
                        nStrobe => nStrobe
        );
        );
 
 
        -- Conexión del módulo de comunicación con interfaz wishbone
        -- Conexión del módulo de comunicación con interfaz wishbone
        U3:  eppwbn_wbn_side
        U_EPPWBN:  eppwbn_wbn_side
                port map(
                port map(
                        inStrobe => s_wb_nStrobe,
                        inStrobe => s_wb_nStrobe,
                        iData => Data,
                        iData => Data,
                        iBusy => s_wb_Busy,
                        iBusy => s_wb_Busy,
                        inAutoFd => s_wb_nAutoFd,
                        inAutoFd => s_wb_nAutoFd,

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.