OpenCores
URL https://opencores.org/ocsvn/modular_oscilloscope/modular_oscilloscope/trunk

Subversion Repositories modular_oscilloscope

[/] [modular_oscilloscope/] [trunk/] [hdl/] [epp/] [eppwbn_16bit.vhd] - Diff between revs 50 and 57

Show entire file | Details | Blame | View Log

Rev 50 Rev 57
Line 109... Line 109...
    WE_O =>  s_WE_O
    WE_O =>  s_WE_O
  );
  );
 
 
  U_EPPWBN_8TO16: eppwbn_width_extension
  U_EPPWBN_8TO16: eppwbn_width_extension
  generic map(
  generic map(
    TIME_OUT_VALUE => 255,
    TIME_OUT_VALUE => 1023,
    TIME_OUT_WIDTH => 8
    TIME_OUT_WIDTH => 10
  )
  )
  port map(
  port map(
    -- Master EPP to slave width exteneder
    -- Master EPP to slave width exteneder
    DAT_I_sl => s_DAT_O,
    DAT_I_sl => s_DAT_O,
    DAT_O_sl => s_DAT_I,
    DAT_O_sl => s_DAT_I,

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.