OpenCores
URL https://opencores.org/ocsvn/modular_oscilloscope/modular_oscilloscope/trunk

Subversion Repositories modular_oscilloscope

[/] [modular_oscilloscope/] [trunk/] [hdl/] [epp/] [eppwbn_16bit_test_wb_side.vhd] - Diff between revs 19 and 22

Show entire file | Details | Blame | View Log

Rev 19 Rev 22
Line 19... Line 19...
--| modify it and/or implement it after contacting the author.
--| modify it and/or implement it after contacting the author.
 
 
 
 
library IEEE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_1164.ALL;
use work.eppwbn_pgk.all;
use work.eppwbn_pkg.all;
--use IEEE.STD_LOGIC_ARITH.ALL;
--use IEEE.STD_LOGIC_ARITH.ALL;
 
 
 
 
entity eppwbn_16bit_test_wb_side is
entity eppwbn_16bit_test_wb_side is
 
 
Line 35... Line 35...
  port(
  port(
    RST_I:  in std_logic;
    RST_I:  in std_logic;
    CLK_I:  in std_logic;
    CLK_I:  in std_logic;
    DAT_I:  in std_logic_vector (WIDTH-1 downto 0);
    DAT_I:  in std_logic_vector (WIDTH-1 downto 0);
    DAT_O:  out std_logic_vector (WIDTH-1 downto 0);
    DAT_O:  out std_logic_vector (WIDTH-1 downto 0);
    ADR_I:  in std_logic_vector (7 downto 0);
    ADR_I:  in std_logic_vector (ADD_WIDTH-1 downto 0);
    CYC_I:  in std_logic;
    CYC_I:  in std_logic;
    STB_I:  in std_logic;
    STB_I:  in std_logic;
    ACK_O:  out std_logic ;
    ACK_O:  out std_logic ;
    WE_I:   in std_logic
    WE_I:   in std_logic
        );
        );
Line 50... Line 50...
begin
begin
 
 
  MEM1: test_memory
  MEM1: test_memory
  generic map(
  generic map(
    DEFAULT_OUT => '0',
    DEFAULT_OUT => '0',
    ADD_WIDTH => 8,
    ADD_WIDTH => ADD_WIDTH,
    WIDTH  => WIDTH
    WIDTH  => WIDTH
    )
    )
  port map (
  port map (
    cs => auto_ack,
    cs => auto_ack,
    clk => CLK_I,
    clk => CLK_I,

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.