OpenCores
URL https://opencores.org/ocsvn/modular_oscilloscope/modular_oscilloscope/trunk

Subversion Repositories modular_oscilloscope

[/] [modular_oscilloscope/] [trunk/] [hdl/] [epp/] [eppwbn_ctrl.vhd] - Diff between revs 10 and 14

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 10 Rev 14
Line 1... Line 1...
--|------------------------------------------------------------------------------
--|------------------------------------------------------------------------------
--| UNSL - Modular Oscilloscope
--| UNSL - Modular Oscilloscope
--|
--|
--| File: eppwbn_wbn_side.vhd
--| File: eppwbn_wbn_side.vhd
--| Version: 0.10
--| Version: 0.20
--| Targeted device: Actel A3PE1500 
--| Targeted device: Actel A3PE1500 
--|-----------------------------------------------------------------------------
--|-----------------------------------------------------------------------------
--| Description:
--| Description:
--|     EPP - Wishbone bridge. 
--|     EPP - Wishbone bridge. 
--|       This module controls the negotiation (IEEE Std. 1284-2000).
--|       This module controls the negotiation (IEEE Std. 1284-2000).
--|   This can be easily modified to control other modes besides the EPP.
--|   This can be easily modified to control other modes besides the EPP.
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
--| File history:
--| File history:
--|     0.01    | nov-2008 | First testing release
--|     0.01    | nov-2008 | First testing release
--|   0.10  | dic-2008 | Customs signals without tri-state
--|   0.20  | dic-2008 | Customs signals without tri-state
 
--|   0.21  | jan-2009 | Asinc RST_I for Wishbone compatibility
--------------------------------------------------------------------------------
--------------------------------------------------------------------------------
--| Copyright Facundo Aguilera 2008
--| Copyright ® 2008, Facundo Aguilera.
--| GPL
--|
 
--| This VHDL design file is an open design; you can redistribute it and/or
 
--| modify it and/or implement it after contacting the author.
 
 
library IEEE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_1164.ALL;
 
 
entity eppwbn_ctrl is
entity eppwbn_ctrl is
Line 85... Line 88...
      ext_req_val <= Data;
      ext_req_val <= Data;
    end if;
    end if;
  end process P_data_store;
  end process P_data_store;
 
 
  ----------------------------------------------------------------------------------------
  ----------------------------------------------------------------------------------------
  -- selección de estado siguiente
  -- estado siguiente
  P_state_comb: process(present_state, next_state, RST_I, nSelectIn, nAutoFd, ext_req_val, nInit, nStrobe) begin
  P_state_comb: process(present_state, next_state, RST_I, nSelectIn, nAutoFd, ext_req_val, nInit, nStrobe) begin
 
 
    if RST_I = '1' then
 
      PError <= '-';
 
      nFault <= '-';
 
      Sel <= '-';
 
      nAck <= '-';
 
 
 
      epp_mode <= "--";
 
 
 
      next_state <= st_compatibility_idle;
 
    else
 
      case present_state is
      case present_state is
 
 
        when st_compatibility_idle =>
        when st_compatibility_idle =>
          PError <= '0';
          PError <= '0';
          nFault <= '1';
          nFault <= '1';
Line 171... Line 165...
          -- Finalizaci?n del modo EPP
          -- Finalizaci?n del modo EPP
 
 
          next_state <= st_epp_mode;
          next_state <= st_epp_mode;
                  -- Se sale de este estado en forma asíncrona ya que esta acción
                  -- Se sale de este estado en forma asíncrona ya que esta acción
      end case;   --  no tiene handshake.
      end case;   --  no tiene handshake.
    end if;
 
  end process P_state_comb;
  end process P_state_comb;
 
 
 
 
 
 
  ----------------------------------------------------------------------------------------
  ----------------------------------------------------------------------------------------
  -- establecimiento de estado actual
  -- estado actual
  P_state_clocked: process(CLK_I, nInit, nSelectIn) begin
  P_state_clocked: process(CLK_I, nInit, nSelectIn) begin
    if (nInit = '0' and nSelectIn = '0') then
    if (nInit = '0' and nSelectIn = '0') or RST_I = '1' then
      present_state <= st_compatibility_idle;
      present_state <= st_compatibility_idle;
    elsif present_state = st_epp_mode and nInit = '0' then
    elsif present_state = st_epp_mode and nInit = '0' then
      present_state <= st_compatibility_idle;
      present_state <= st_compatibility_idle;
    elsif (CLK_I'event and CLK_I='1') then
    elsif (CLK_I'event and CLK_I='1') then
      present_state <= next_state;
      present_state <= next_state;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.