OpenCores
URL https://opencores.org/ocsvn/modular_oscilloscope/modular_oscilloscope/trunk

Subversion Repositories modular_oscilloscope

[/] [modular_oscilloscope/] [trunk/] [hdl/] [epp/] [eppwbn_ctrl.vhd] - Diff between revs 22 and 41

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 22 Rev 41
Line 14... Line 14...
--| File history:
--| File history:
--|     0.01    | nov-2008 | First testing release
--|     0.01    | nov-2008 | First testing release
--|   0.20  | dic-2008 | Custom signals without tri-state
--|   0.20  | dic-2008 | Custom signals without tri-state
--|   0.21  | jan-2009 | Sinc reset
--|   0.21  | jan-2009 | Sinc reset
----------------------------------------------------------------------------------------------------
----------------------------------------------------------------------------------------------------
--| Copyright ® 2008, Facundo Aguilera.
--| Copyright © 2008, Facundo Aguilera.
--|
--|
--| This VHDL design file is an open design; you can redistribute it and/or
--| This VHDL design file is an open design; you can redistribute it and/or
--| modify it and/or implement it after contacting the author.
--| modify it and/or implement it after contacting the author.
----------------------------------------------------------------------------------------------------
----------------------------------------------------------------------------------------------------
 
 
Line 42... Line 42...
        PeriphLogicH: out std_logic;            -- (Periph Logic High)
        PeriphLogicH: out std_logic;            -- (Periph Logic High)
        nInit: in std_logic;                    -- nReverseRequest
        nInit: in std_logic;                    -- nReverseRequest
        nFault: out std_logic;                  -- nDataAvail/nPeriphRequest
        nFault: out std_logic;                  -- nDataAvail/nPeriphRequest
        nSelectIn: in std_logic;                -- 1284 Active/nAStrb
        nSelectIn: in std_logic;                -- 1284 Active/nAStrb
        -- HostLogicH: in std_logic;            -- (Host Logic High)
        -- HostLogicH: in std_logic;            -- (Host Logic High)
        -- i indica misma señal de salida al puerto, aunque interna en el core y controlada por el bloque de control
 
 
 
        -- salida a la interface wishbone
        -- salida a la interface wishbone
        RST_I: in std_logic;
        RST_I: in std_logic;
        CLK_I: in std_logic;
        CLK_I: in std_logic;
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.