OpenCores
URL https://opencores.org/ocsvn/modular_oscilloscope/modular_oscilloscope/trunk

Subversion Repositories modular_oscilloscope

[/] [modular_oscilloscope/] [trunk/] [hdl/] [epp/] [eppwbn_epp_side.vhd] - Diff between revs 16 and 19

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 16 Rev 19
Line 80... Line 80...
                                                nAutoFd, nSelectIn, nStrobe) begin
                                                nAutoFd, nSelectIn, nStrobe) begin
    case epp_mode is
    case epp_mode is
 
 
      when "11" =>
      when "11" =>
        -- Hacia el host
        -- Hacia el host
        nAck <= '0'; -- No están implementadas las señales personalizadas
        nAck <= '1'; -- No están implementadas las señales personalizadas
        PError <= '0';
        PError <= '0';
        Sel <= '0';
        Sel <= '0';
        nFault <= '0';
        nFault <= '0';
 
 
        -- Hacia el módulo EPP
        -- Hacia el módulo EPP

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.