OpenCores
URL https://opencores.org/ocsvn/modular_oscilloscope/modular_oscilloscope/trunk

Subversion Repositories modular_oscilloscope

[/] [modular_oscilloscope/] [trunk/] [hdl/] [epp/] [eppwbn_epp_side.vhd] - Diff between revs 19 and 22

Show entire file | Details | Blame | View Log

Rev 19 Rev 22
Line 66... Line 66...
 
 
begin
begin
 
 
  -- Puentes
  -- Puentes
  --  Son incorporados en un módulo para facilitar modificaciones
  --  Son incorporados en un módulo para facilitar modificaciones
  Busy <= wb_Busy;
 
 
 
  ctr_nAutoFd <= nAutoFd;
  ctr_nAutoFd <= nAutoFd;
  ctr_nSelectIn <= nSelectIn;
  ctr_nSelectIn <= nSelectIn;
  ctr_nStrobe <= nStrobe;
  ctr_nStrobe <= nStrobe;
 
 
  -- Selección de salidas desde el módulo EPP cuando epp_mode = "11"
  -- Selección de salidas desde el módulo EPP cuando epp_mode = "11"
  --  Como no están implementadas las señales personalizadas se escribe "0000"
  --  Como no están implementadas las señales personalizadas se escribe "0000"
  multiplexing: process (epp_mode ,ctr_nAck, ctr_PError, ctr_Sel, ctr_nFault,
  multiplexing: process (epp_mode ,ctr_nAck, ctr_PError, ctr_Sel, ctr_nFault,
                                                nAutoFd, nSelectIn, nStrobe) begin
                                                nAutoFd, nSelectIn, nStrobe, wb_Busy) begin
    case epp_mode is
    case epp_mode is
 
 
      when "11" =>
      when "11" =>
        -- Hacia el host
        -- Hacia el host
        nAck <= '1'; -- No están implementadas las señales personalizadas
        nAck <= '1'; -- No están implementadas las señales personalizadas
Line 89... Line 89...
 
 
        -- Hacia el módulo EPP
        -- Hacia el módulo EPP
        wb_nAutoFd <= nAutoFd;
        wb_nAutoFd <= nAutoFd;
        wb_nSelectIn <= nSelectIn;
        wb_nSelectIn <= nSelectIn;
        wb_nStrobe <= nStrobe;
        wb_nStrobe <= nStrobe;
 
        Busy <= wb_Busy;
 
 
      when "01" =>
      when "01" =>
        -- Hacia el host
        -- Hacia el host
        nAck <= ctr_nAck;
        nAck <= ctr_nAck;
        PError <= ctr_PError;
        PError <= ctr_PError;
Line 101... Line 102...
 
 
        -- Hacia el módulo EPP
        -- Hacia el módulo EPP
        wb_nAutoFd <= nAutoFd;
        wb_nAutoFd <= nAutoFd;
        wb_nSelectIn <= nSelectIn;
        wb_nSelectIn <= nSelectIn;
        wb_nStrobe <= nStrobe;
        wb_nStrobe <= nStrobe;
 
        Busy <= wb_Busy;
 
 
      when others =>
      when others =>
        -- Hacia el host
        -- Hacia el host
        nAck <= ctr_nAck;
        nAck <= ctr_nAck;
        PError <= ctr_PError;
        PError <= ctr_PError;
Line 113... Line 115...
 
 
        -- Hacia el módulo EPP
        -- Hacia el módulo EPP
        wb_nAutoFd <= '1';
        wb_nAutoFd <= '1';
        wb_nSelectIn <= '1';
        wb_nSelectIn <= '1';
        wb_nStrobe <= '1';
        wb_nStrobe <= '1';
 
        Busy <= '0';
    end case;
    end case;
  end process;
  end process;
 
 
end architecture multiplexor;
end architecture multiplexor;
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.