Line 11... |
Line 11... |
--|-------------------------------------------------------------------------------------------------
|
--|-------------------------------------------------------------------------------------------------
|
--| File history:
|
--| File history:
|
--| 0.01 | dic-2008 | First release
|
--| 0.01 | dic-2008 | First release
|
--| 0.10 | jan-2009 | Added testing memory
|
--| 0.10 | jan-2009 | Added testing memory
|
--| 0.20 | mar-2009 | Added extension module
|
--| 0.20 | mar-2009 | Added extension module
|
--| 0.30 | apr-2009 | Added pll
|
|
----------------------------------------------------------------------------------------------------
|
----------------------------------------------------------------------------------------------------
|
--| Copyright ® 2008, Facundo Aguilera.
|
--| Copyright ® 2008, Facundo Aguilera.
|
--|
|
--|
|
--| This VHDL design file is an open design; you can redistribute it and/or
|
--| This VHDL design file is an open design; you can redistribute it and/or
|
--| modify it and/or implement it after contacting the author.
|
--| modify it and/or implement it after contacting the author.
|
----------------------------------------------------------------------------------------------------
|
----------------------------------------------------------------------------------------------------
|
|
|
-- El módulo PLL solo funcionará con FPGAs de Actel
|
|
|
|
|
|
-- Bloque completo
|
-- Bloque completo
|
library IEEE;
|
library IEEE;
|
use IEEE.STD_LOGIC_1164.ALL;
|
use IEEE.STD_LOGIC_1164.ALL;
|
|
|
package eppwbn_pgk is
|
package eppwbn_pkg is
|
--------------------------------------------------------------------------------------------------
|
--------------------------------------------------------------------------------------------------
|
-- Componentes
|
-- Componentes
|
|
|
-- Bridge control
|
-- Bridge control
|
component eppwbn_ctrl is
|
component eppwbn_ctrl is
|
Line 123... |
Line 120... |
end component test_memory;
|
end component test_memory;
|
|
|
-- Epp-wishbone bridge
|
-- Epp-wishbone bridge
|
component eppwbn is
|
component eppwbn is
|
port(
|
port(
|
|
-- TEMPORAL
|
|
epp_mode_monitor: out std_logic_vector (1 downto 0);
|
|
|
-- Externo
|
-- Externo
|
nStrobe: in std_logic; -- HostClk/nWrite
|
nStrobe: in std_logic; -- HostClk/nWrite
|
Data: inout std_logic_vector (7 downto 0); -- AD8..1 (Data1..Data8)
|
Data: inout std_logic_vector (7 downto 0); -- AD8..1 (Data1..Data8)
|
nAck: out std_logic; -- PtrClk/PeriphClk/Intr
|
nAck: out std_logic; -- PtrClk/PeriphClk/Intr
|
busy: out std_logic; -- PtrBusy/PeriphAck/nWait
|
busy: out std_logic; -- PtrBusy/PeriphAck/nWait
|
Line 199... |
Line 199... |
);
|
);
|
end component eppwbn_width_extension;
|
end component eppwbn_width_extension;
|
|
|
component eppwbn_16bit is
|
component eppwbn_16bit is
|
port(
|
port(
|
|
-- TEMPORAL
|
|
epp_mode_monitor: out std_logic_vector (1 downto 0);
|
|
|
-- Externo
|
-- Externo
|
nStrobe: in std_logic; -- HostClk/nWrite
|
nStrobe: in std_logic; -- HostClk/nWrite
|
Data: inout std_logic_vector (7 downto 0);-- AD8..1 (Data1..Data8)
|
Data: inout std_logic_vector (7 downto 0);-- AD8..1 (Data1..Data8)
|
nAck: out std_logic; -- PtrClk/PeriphClk/Intr
|
nAck: out std_logic; -- PtrClk/PeriphClk/Intr
|
busy: out std_logic; -- PtrBusy/PeriphAck/nWait
|
busy: out std_logic; -- PtrBusy/PeriphAck/nWait
|
Line 246... |
Line 249... |
|
|
-- a los switches
|
-- a los switches
|
rst: in std_logic;
|
rst: in std_logic;
|
|
|
-- al clock
|
-- al clock
|
clk: in std_logic
|
clk: in std_logic;
|
|
|
|
-- monitores
|
|
data_monitor: out std_logic_vector (7 downto 0);
|
|
epp_mode_monitor: out std_logic_vector (1 downto 0)
|
|
|
);
|
);
|
end component eppwbn_16bit_test;
|
end component eppwbn_16bit_test;
|
|
|
component eppwbn_16bit_test_wb_side is
|
component eppwbn_16bit_test_wb_side is
|
Line 270... |
Line 277... |
WE_I: in std_logic
|
WE_I: in std_logic
|
);
|
);
|
end component eppwbn_16bit_test_wb_side;
|
end component eppwbn_16bit_test_wb_side;
|
|
|
-- Clock (Actel specific)
|
-- Clock (Actel specific)
|
component pll is
|
component A3PE_pll is
|
port(GLB, LOCK : out std_logic; CLK : in std_logic) ;
|
port(POWERDOWN, CLKA : in std_logic; LOCK, GLA : out
|
end component pll;
|
std_logic) ;
|
|
end component A3PE_pll;
|
|
|
|
component dual_port_memory_wb is
|
|
port(
|
|
-- Puerto A (Higer prioriry)
|
|
RST_I_a: in std_logic;
|
|
CLK_I_a: in std_logic;
|
|
DAT_I_a: in std_logic_vector (15 downto 0);
|
|
DAT_O_a: out std_logic_vector (15 downto 0);
|
|
ADR_I_a: in std_logic_vector (13 downto 0);
|
|
CYC_I_a: in std_logic;
|
|
STB_I_a: in std_logic;
|
|
ACK_O_a: out std_logic ;
|
|
WE_I_a: in std_logic;
|
|
|
|
|
|
-- Puerto B (Lower prioriry)
|
|
RST_I_b: in std_logic;
|
|
CLK_I_b: in std_logic;
|
|
DAT_I_b: in std_logic_vector (15 downto 0);
|
|
DAT_O_b: out std_logic_vector (15 downto 0);
|
|
ADR_I_b: in std_logic_vector (13 downto 0);
|
|
CYC_I_b: in std_logic;
|
|
STB_I_b: in std_logic;
|
|
ACK_O_b: out std_logic ;
|
|
WE_I_b: in std_logic
|
|
);
|
|
end component dual_port_memory_wb;
|
|
|
|
|
end package eppwbn_pgk;
|
end package eppwbn_pkg;
|
|
|
No newline at end of file
|
No newline at end of file
|