OpenCores
URL https://opencores.org/ocsvn/modular_oscilloscope/modular_oscilloscope/trunk

Subversion Repositories modular_oscilloscope

[/] [modular_oscilloscope/] [trunk/] [hdl/] [epp/] [eppwbn_pkg.vhd] - Diff between revs 54 and 57

Show entire file | Details | Blame | View Log

Rev 54 Rev 57
Line 285... Line 285...
  component A3PE_pll is
  component A3PE_pll is
      port(POWERDOWN, CLKA : in std_logic;  LOCK, GLA : out
      port(POWERDOWN, CLKA : in std_logic;  LOCK, GLA : out
          std_logic) ;
          std_logic) ;
  end component A3PE_pll;
  end component A3PE_pll;
 
 
  -- component dual_port_memory_wb is
 
  -- port(
 
    -- -- Puerto A (Higer prioriry)
 
    -- RST_I_a: in std_logic;  
 
    -- CLK_I_a: in std_logic;  
 
    -- DAT_I_a: in std_logic_vector (15 downto 0);
 
    -- DAT_O_a: out std_logic_vector (15 downto 0);
 
    -- ADR_I_a: in std_logic_vector (13 downto 0);
 
    -- CYC_I_a: in std_logic;  
 
    -- STB_I_a: in std_logic;  
 
    -- ACK_O_a: out std_logic ;
 
    -- WE_I_a: in std_logic;
 
 
 
 
 
    -- -- Puerto B (Lower prioriry)
 
    -- RST_I_b: in std_logic;  
 
    -- CLK_I_b: in std_logic;  
 
    -- DAT_I_b: in std_logic_vector (15 downto 0);
 
    -- DAT_O_b: out std_logic_vector (15 downto 0);
 
    -- ADR_I_b: in std_logic_vector (13 downto 0);
 
    -- CYC_I_b: in std_logic;  
 
    -- STB_I_b: in std_logic;  
 
    -- ACK_O_b: out std_logic ;
 
    -- WE_I_b: in std_logic
 
  -- );
 
  -- end component dual_port_memory_wb;
 
 
 
 
 
end package eppwbn_pkg;
end package eppwbn_pkg;
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.