OpenCores
URL https://opencores.org/ocsvn/modular_oscilloscope/modular_oscilloscope/trunk

Subversion Repositories modular_oscilloscope

[/] [modular_oscilloscope/] [trunk/] [hdl/] [epp/] [eppwbn_test.vhd] - Diff between revs 14 and 15

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 14 Rev 15
Line 75... Line 75...
    );
    );
 
 
  MA_EPP: eppwbn port map(
  MA_EPP: eppwbn port map(
      -- Externo
      -- Externo
      nStrobe   => nStrobe,
      nStrobe   => nStrobe,
      Data      => nStrobe,
      Data      => Data,
      nAck      => nAck,
      nAck      => nAck,
      busy      => busy,
      busy      => busy,
      PError    => PError,
      PError    => PError,
      Sel       => Sel,
      Sel       => Sel,
      nAutoFd   => nAutoFd,
      nAutoFd   => nAutoFd,
Line 97... Line 97...
      STB_O => STB_O_master,
      STB_O => STB_O_master,
      ACK_I => ACK_I_master,
      ACK_I => ACK_I_master,
      WE_O  => WE_O_master
      WE_O  => WE_O_master
    );
    );
 
 
end architecture eppwbn_test_wb_arch0;
 
 No newline at end of file
 No newline at end of file
 
end architecture eppwbn_test_arch0;
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.