OpenCores
URL https://opencores.org/ocsvn/modular_oscilloscope/modular_oscilloscope/trunk

Subversion Repositories modular_oscilloscope

[/] [modular_oscilloscope/] [trunk/] [hdl/] [epp/] [eppwbn_wbn_side.vhd] - Diff between revs 9 and 14

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 9 Rev 14
Line 1... Line 1...
--------------------------------------------------------------------------------
--|------------------------------------------------------------------------------
-- UNSL - Modular Oscilloscope
--| UNSL - Modular Oscilloscope
--
--|
-- File: eppwbn_wbn_side.vhd
--| File: eppwbn_wbn_side.vhd
-- Version: 0.20
--| Version: 0.20
-- Targeted device: Actel A3PE1500 
--| Targeted device: Actel A3PE1500 
--------------------------------------------------------------------------------
--|------------------------------------------------------------------------------
-- Description:
--| Description:
--      EPP - Wishbone bridge. 
--|     EPP - Wishbone bridge. 
--      This module is in the wishbone side (IEEE Std. 1284-2000).
--|     This module is in the wishbone side (IEEE Std. 1284-2000).
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- File history:
--| File history:
--      0.01    | nov-2008 | First release
--|     0.01    | nov-2008 | First release
--------------------------------------------------------------------------------
--------------------------------------------------------------------------------
-- Copyright Facundo Aguilera 2008
--| Copyright ® 2008, Facundo Aguilera.
-- GPL
--|
 
--| This VHDL design file is an open design; you can redistribute it and/or
 
--| modify it and/or implement it after contacting the author.
 
 
 
 
 
 
library IEEE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_1164.ALL;
Line 37... Line 39...
        -- iPeriphLogicH: out std_logic;                                                -- (Periph Logic High)
        -- iPeriphLogicH: out std_logic;                                                -- (Periph Logic High)
        -- inInit: in std_logic;                                                                                -- nReverseRequest
        -- inInit: in std_logic;                                                                                -- nReverseRequest
        -- inFault: out std_logic;                                                                      -- nDataAvail/nPeriphRequest
        -- inFault: out std_logic;                                                                      -- nDataAvail/nPeriphRequest
        inSelectIn: in std_logic;                                                                       -- 1284 Active/nAStrb
        inSelectIn: in std_logic;                                                                       -- 1284 Active/nAStrb
        -- iHostLogicH: in std_logic;                                                   -- (Host Logic High)
        -- iHostLogicH: in std_logic;                                                   -- (Host Logic High)
        -- i indica misma señal de salida al puerto, aunque interna en el core y controlada por el bloque de control
        -- i indica interna en el core y controlada por el bloque de control
 
 
        --  a la interface wishbone
        --  a la interface wishbone
        RST_I: in std_logic;
        RST_I: in std_logic;
        CLK_I: in std_logic;
        CLK_I: in std_logic;
        DAT_I: in std_logic_vector (7 downto 0);
        DAT_I: in std_logic_vector (7 downto 0);

powered by: WebSVN 2.1.0

© copyright 1999-2025 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.