OpenCores
URL https://opencores.org/ocsvn/modular_oscilloscope/modular_oscilloscope/trunk

Subversion Repositories modular_oscilloscope

[/] [modular_oscilloscope/] [trunk/] [hdl/] [epp/] [eppwbn_width_extension.vhd] - Diff between revs 19 and 22

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 19 Rev 22
Line 32... Line 32...
library IEEE;
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.STD_LOGIC_1164.all;
use IEEE.STD_LOGIC_ARITH.all;
use IEEE.STD_LOGIC_ARITH.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_unsigned.all;
use IEEE.numeric_std.all;
use IEEE.numeric_std.all;
use work.eppwbn_pgk.all;
use work.eppwbn_pkg.all;
 
 
entity eppwbn_width_extension is
entity eppwbn_width_extension is
  generic (
  generic (
    TIME_OUT_VALUE: integer  := 255;
    TIME_OUT_VALUE: integer  := 255;
    TIME_OUT_WIDTH: integer  := 8
    TIME_OUT_WIDTH: integer  := 8

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.