OpenCores
URL https://opencores.org/ocsvn/mpmc8/mpmc8/trunk

Subversion Repositories mpmc8

[/] [mpmc8/] [trunk/] [rtl/] [mpmc10/] [mpmc10_set_write_mask.sv] - Diff between revs 5 and 7

Show entire file | Details | Blame | View Log

Rev 5 Rev 7
Line 52... Line 52...
input wei;
input wei;
input [31:0] seli;
input [31:0] seli;
input [4:0] adri;
input [4:0] adri;
output [15:0] masko;
output [15:0] masko;
begin
begin
if (state==IDLE)
if (state==PRESET2)
        if (wei)
        if (wei)
                masko <= ~seli >> {adri[4],4'h0};
                masko <= ~seli >> {adri[4],4'h0};
        else
        else
                masko <= 16'h0000;      // read all bytes
                masko <= 16'h0000;      // read all bytes
end
end

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.