OpenCores
URL https://opencores.org/ocsvn/mpmc8/mpmc8/trunk

Subversion Repositories mpmc8

[/] [mpmc8/] [trunk/] [rtl/] [mpmc10/] [mpmc10_set_write_mask_wb.sv] - Diff between revs 7 and 10

Show entire file | Details | Blame | View Log

Rev 7 Rev 10
Line 44... Line 44...
input [WID-1:0] sel;
input [WID-1:0] sel;
input [31:0] adr;
input [31:0] adr;
output reg [WID-1:0] mask;
output reg [WID-1:0] mask;
 
 
always_ff @(posedge clk)
always_ff @(posedge clk)
        tMask(256,we,sel,adr[4:0],mask);
 
 
 
task tMask;
 
input [7:0] widi;
 
input wei;
 
input [WID-1:0] seli;
 
input [4:0] adri;
 
output [15:0] masko;
 
begin
begin
if (state==PRESET1)
if (state==PRESET1)
        if (wei)
        if (we)
                masko <= ~seli;
                mask <= ~sel;
        else
        else
                masko <= 16'h0000;      // read all bytes
                mask <= 16'h0000;       // read all bytes
end
end
endtask
 
 
 
endmodule
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.