OpenCores
URL https://opencores.org/ocsvn/mpmc8/mpmc8/trunk

Subversion Repositories mpmc8

[/] [mpmc8/] [trunk/] [rtl/] [mpmc8_set_write_mask.sv] - Diff between revs 2 and 3

Show entire file | Details | Blame | View Log

Rev 2 Rev 3
Line 58... Line 58...
input we3;
input we3;
input we4;
input we4;
input we5;
input we5;
input we6;
input we6;
input we7;
input we7;
input [128/C0W-1:0] sel0;
input [C0W/8-1:0] sel0;
input [128/C1W-1:0] sel1;
input [C1W/8-1:0] sel1;
input [128/C2W-1:0] sel2;
input [C2W/8-1:0] sel2;
input [128/C3W-1:0] sel3;
input [C3W/8-1:0] sel3;
input [128/C4W-1:0] sel4;
input [C4W/8-1:0] sel4;
input [128/C5W-1:0] sel5;
input [C5W/8-1:0] sel5;
input [128/C6W-1:0] sel6;
input [C6W/8-1:0] sel6;
input [128/C7W-1:0] sel7;
input [C7W/8-1:0] sel7;
input [31:0] adr0;
input [31:0] adr0;
input [31:0] adr1;
input [31:0] adr1;
input [31:0] adr2;
input [31:0] adr2;
input [31:0] adr3;
input [31:0] adr3;
input [31:0] adr4;
input [31:0] adr4;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.