OpenCores
URL https://opencores.org/ocsvn/myblaze/myblaze/trunk

Subversion Repositories myblaze

[/] [myblaze/] [trunk/] [rtl/] [fetch.py] - Diff between revs 5 and 6

Show entire file | Details | Blame | View Log

Rev 5 Rev 6
Line 6... Line 6...
    Fetch Unit
    Fetch Unit
 
 
    :copyright: Copyright (c) 2010 Jian Luo
    :copyright: Copyright (c) 2010 Jian Luo
    :author-email: jian.luo.cn(at_)gmail.com
    :author-email: jian.luo.cn(at_)gmail.com
    :license: LGPL, see LICENSE for details
    :license: LGPL, see LICENSE for details
    :revision: $Id: fetch.py 5 2010-11-21 10:59:30Z rockee $
    :revision: $Id: fetch.py 6 2010-11-21 23:18:44Z rockee $
"""
"""
 
 
from myhdl import *
from myhdl import *
from defines import *
from defines import *
from functions import *
from functions import *
Line 64... Line 64...
    def seq():
    def seq():
        if reset:
        if reset:
            if_r_program_counter.next = 0
            if_r_program_counter.next = 0
        elif enable:
        elif enable:
            if_r_program_counter.next = if_comb_r_program_counter
            if_r_program_counter.next = if_comb_r_program_counter
        #if __debug__:
 
            #print 'pc:=0x%x, enable:=%s, reset:=%s' % (
 
                #if_comb_r_program_counter, enable, reset)
 
 
 
    @always_comb
    @always_comb
    def regout():
    def regout():
        imem_ena_out.next = enable
        imem_ena_out.next = enable
        imem_addr_out.next = if_comb_r_program_counter
        imem_addr_out.next = if_comb_r_program_counter

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.