URL
https://opencores.org/ocsvn/nand_controller/nand_controller/trunk
[/] [nand_controller/] [trunk/] [VHDL/] [nand_master.vhd] - Diff between revs 18 and 19
Show entire file |
Details |
Blame |
View Log
Rev 18 |
Rev 19 |
Line 274... |
Line 274... |
(state = MI_BYPASS_DATA_RD and substate = MS_BEGIN) else -- reading byte directly from the chip
|
(state = MI_BYPASS_DATA_RD and substate = MS_BEGIN) else -- reading byte directly from the chip
|
'0';
|
'0';
|
|
|
-- Activation of write byte mechanism
|
-- Activation of write byte mechanism
|
io_wr_activate <= '1' when (state = M_NAND_PAGE_PROGRAM and substate = MS_WRITE_DATA3) or -- initiate byte write for PAGE_PROGRAM command
|
io_wr_activate <= '1' when (state = M_NAND_PAGE_PROGRAM and substate = MS_WRITE_DATA3) or -- initiate byte write for PAGE_PROGRAM command
|
(state = MI_BYPASS_DATA_WR and substate = MS_WRITE_DATA0) else
|
(state = MI_BYPASS_DATA_WR and substate = MS_WRITE_DATA0) else -- writing byte directly to the chip
|
'0';
|
'0';
|
|
|
MASTER: process(clk, nreset, activate, cmd_in, data_in, state_switch)
|
MASTER: process(clk, nreset, activate, cmd_in, data_in, state_switch)
|
variable tmp_int : std_logic_vector(31 downto 0);
|
variable tmp_int : std_logic_vector(31 downto 0);
|
variable tmp : integer;
|
variable tmp : integer;
|
© copyright 1999-2024
OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.