OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [docs/] [datasheet/] [soc_sysinfo.adoc] - Diff between revs 60 and 61

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 60 Rev 61
Line 4... Line 4...
 
 
[cols="<3,<3,<4"]
[cols="<3,<3,<4"]
[frame="topbot",grid="none"]
[frame="topbot",grid="none"]
|=======================
|=======================
| Hardware source file(s): | neorv32_sysinfo.vhd |
| Hardware source file(s): | neorv32_sysinfo.vhd |
| Software driver file(s): | (neorv32.h) |
| Software driver file(s): | neorv32.h |
| Top entity port:         | none |
| Top entity port:         | none |
| Configuration generics:  | * | most of the top's configuration generics
| Configuration generics:  | * | most of the top's configuration generics
| CPU interrupts:          | none |
| CPU interrupts:          | none |
|=======================
|=======================
 
 
Line 40... Line 40...
._SYSINFO_FEATURES_ bits
._SYSINFO_FEATURES_ bits
[cols="^1,<10,<11"]
[cols="^1,<10,<11"]
[options="header",grid="all"]
[options="header",grid="all"]
|=======================
|=======================
| Bit | Name [C] | Function
| Bit | Name [C] | Function
| `0`  | _SYSINFO_FEATURES_BOOTLOADER_       | set if the processor-internal bootloader is implemented (via top's _BOOTLOADER_EN_ generic)
| `0`  | _SYSINFO_FEATURES_BOOTLOADER_       | set if the processor-internal bootloader is implemented (via top's _INT_BOOTLOADER_EN_ generic)
| `1`  | _SYSINFO_FEATURES_MEM_EXT_          | set if the external Wishbone bus interface is implemented (via top's _MEM_EXT_EN_ generic)
| `1`  | _SYSINFO_FEATURES_MEM_EXT_          | set if the external Wishbone bus interface is implemented (via top's _MEM_EXT_EN_ generic)
| `2`  | _SYSINFO_FEATURES_MEM_INT_IMEM_     | set if the processor-internal DMEM implemented (via top's _MEM_INT_DMEM_EN_ generic)
| `2`  | _SYSINFO_FEATURES_MEM_INT_IMEM_     | set if the processor-internal DMEM implemented (via top's _MEM_INT_DMEM_EN_ generic)
| `3`  | _SYSINFO_FEATURES_MEM_INT_IMEM_ROM_ | set if the processor-internal IMEM is read-only (via top's _MEM_INT_IMEM_ROM_ generic)
| `3`  | _SYSINFO_FEATURES_MEM_INT_DMEM_     | set if the processor-internal IMEM is implemented (via top's _MEM_INT_IMEM_EN_ generic)
| `4`  | _SYSINFO_FEATURES_MEM_INT_DMEM_     | set if the processor-internal IMEM is implemented (via top's _MEM_INT_IMEM_EN_ generic)
| `4`  | _SYSINFO_FEATURES_MEM_EXT_ENDIAN_   | set if external bus interface uses BIG-endian byte-order (via package's `wb_big_endian_c` constant)
| `5`  | _SYSINFO_FEATURES_MEM_EXT_ENDIAN_   | set if external bus interface uses BIG-endian byte-order (via package's `xbus_big_endian_c` constant)
| `5`  | _SYSINFO_FEATURES_ICACHE_           | set if processor-internal instruction cache is implemented (via _ICACHE_EN_ generic)
| `6`  | _SYSINFO_FEATURES_ICACHE_           | set if processor-internal instruction cache is implemented (via _ICACHE_EN_ generic)
 
| `14` | _SYSINFO_FEATURES_HW_RESET_         | set if on-chip debugger implemented (via _ON_CHIP_DEBUGGER_EN_ generic)
| `14` | _SYSINFO_FEATURES_HW_RESET_         | set if on-chip debugger implemented (via _ON_CHIP_DEBUGGER_EN_ generic)
| `15` | _SYSINFO_FEATURES_HW_RST_           | set if a dedicated hardware reset of all core registers is implemented (via package's _dedicated_reset_c_ constant)
| `15` | _SYSINFO_FEATURES_HW_RST_           | set if a dedicated hardware reset of all core registers is implemented (via package's _dedicated_reset_c_ constant)
| `15` | _SYSINFO_FEATURES_HW_RST_           | set if a dedicated hardware reset of all core registers is implemented (via package's _dedicated_reset_c_ constant)
| `15` | _SYSINFO_FEATURES_HW_RST_           | set if a dedicated hardware reset of all core registers is implemented (via package's _dedicated_reset_c_ constant)
| `16` | _SYSINFO_FEATURES_IO_GPIO_          | set if the GPIO is implemented (via top's _IO_GPIO_EN_ generic)
| `16` | _SYSINFO_FEATURES_IO_GPIO_          | set if the GPIO is implemented (via top's _IO_GPIO_EN_ generic)
| `17` | _SYSINFO_FEATURES_IO_MTIME_         | set if the MTIME is implemented (via top's _IO_MTIME_EN_ generic)
| `17` | _SYSINFO_FEATURES_IO_MTIME_         | set if the MTIME is implemented (via top's _IO_MTIME_EN_ generic)
Line 59... Line 58...
| `20` | _SYSINFO_FEATURES_IO_TWI_           | set if the TWI is implemented (via top's _IO_TWI_EN_ generic)
| `20` | _SYSINFO_FEATURES_IO_TWI_           | set if the TWI is implemented (via top's _IO_TWI_EN_ generic)
| `21` | _SYSINFO_FEATURES_IO_PWM_           | set if the PWM is implemented (via top's _IO_PWM_EN_ generic)
| `21` | _SYSINFO_FEATURES_IO_PWM_           | set if the PWM is implemented (via top's _IO_PWM_EN_ generic)
| `22` | _SYSINFO_FEATURES_IO_WDT_           | set if the WDT is implemented (via top's _IO_WDT_EN_ generic)
| `22` | _SYSINFO_FEATURES_IO_WDT_           | set if the WDT is implemented (via top's _IO_WDT_EN_ generic)
| `23` | _SYSINFO_FEATURES_IO_CFS_           | set if the custom functions subsystem is implemented (via top's _IO_CFS_EN_ generic)
| `23` | _SYSINFO_FEATURES_IO_CFS_           | set if the custom functions subsystem is implemented (via top's _IO_CFS_EN_ generic)
| `24` | _SYSINFO_FEATURES_IO_TRNG_          | set if the TRNG is implemented (via top's _IO_TRNG_EN_ generic)
| `24` | _SYSINFO_FEATURES_IO_TRNG_          | set if the TRNG is implemented (via top's _IO_TRNG_EN_ generic)
| `25` | _SYSINFO_FEATURES_IO_NCO_           | set if the NCO is implemented (via top's _IO_NCO_EN_ generic)
| `25` | _SYSINFO_FEATURES_IO_SLINK_         | set if the SLINK is implemented (via top's _SLINK_NUM_TX_ / _SLINK_NUM_RX_ generics)
| `26` | _SYSINFO_FEATURES_IO_UART1_         | set if the secondary UART1 is implemented (via top's _IO_UART1_EN_ generic)
| `26` | _SYSINFO_FEATURES_IO_UART1_         | set if the secondary UART1 is implemented (via top's _IO_UART1_EN_ generic)
| `27` | _SYSINFO_FEATURES_IO_NEOLED_        | set if the NEOLED is implemented (via top's _IO_NEOLED_EN_ generic)
| `27` | _SYSINFO_FEATURES_IO_NEOLED_        | set if the NEOLED is implemented (via top's _IO_NEOLED_EN_ generic)
|=======================
|=======================

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.