OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [docs/] [datasheet/] [soc_wdt.adoc] - Diff between revs 60 and 61

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 60 Rev 61
Line 8... Line 8...
| Hardware source file(s): | neorv32_wdt.vhd |
| Hardware source file(s): | neorv32_wdt.vhd |
| Software driver file(s): | neorv32_wdt.c |
| Software driver file(s): | neorv32_wdt.c |
|                          | neorv32_wdt.h |
|                          | neorv32_wdt.h |
| Top entity port:         | none |
| Top entity port:         | none |
| Configuration generics:  | _IO_WDT_EN_ | implement GPIO port when _true_
| Configuration generics:  | _IO_WDT_EN_ | implement GPIO port when _true_
| CPU interrupts:          | FIRQ channel 0 | watchdog timer overflow (see <<_processor_interrupts>>)
| CPU interrupts:          | fast IRQ channel 0 | watchdog timer overflow (see <<_processor_interrupts>>)
|=======================
|=======================
 
 
**Theory of Operation**
**Theory of Operation**
 
 
The watchdog (WDT) provides a last resort for safety-critical applications. The WDT has an internal 20-bit
The watchdog (WDT) provides a last resort for safety-critical applications. The WDT has an internal 20-bit
Line 55... Line 55...
.WDT register map
.WDT register map
[cols="<2,<2,<4,^1,^2,<4"]
[cols="<2,<2,<4,^1,^2,<4"]
[options="header",grid="all"]
[options="header",grid="all"]
|=======================
|=======================
| Address | Name [C] | Bit(s), Name [C] | R/W | Writable if locked | Function
| Address | Name [C] | Bit(s), Name [C] | R/W | Writable if locked | Function
.9+<| `0xffffff8c` .9+<| _WDT_CT_ <|`0` _WDT_CT_EN_       ^| r/w ^| no  <| watchdog enable
.9+<| `0xffffffbc` .9+<| _WDT_CT_ <|`0` _WDT_CT_EN_       ^| r/w ^| no  <| watchdog enable
                                  <|`1` _WDT_CT_CLK_SEL0_ ^| r/w ^| no  .3+<| 3-bit clock prescaler select
                                  <|`1` _WDT_CT_CLK_SEL0_ ^| r/w ^| no  .3+<| 3-bit clock prescaler select
                                  <|`2` _WDT_CT_CLK_SEL1_ ^| r/w ^| no
                                  <|`2` _WDT_CT_CLK_SEL1_ ^| r/w ^| no
                                  <|`3` _WDT_CT_CLK_SEL2_ ^| r/w ^| no
                                  <|`3` _WDT_CT_CLK_SEL2_ ^| r/w ^| no
                                  <|`4` _WDT_CT_MODE_     ^| r/w ^| no  <| overflow action: `1`=reset, `0`=IRQ
                                  <|`4` _WDT_CT_MODE_     ^| r/w ^| no  <| overflow action: `1`=reset, `0`=IRQ
                                  <|`5` _WDT_CT_RCAUSE_   ^| r/- ^| -   <| cause of last system reset: `0`=caused by external reset signal, `1`=caused by watchdog
                                  <|`5` _WDT_CT_RCAUSE_   ^| r/- ^| -   <| cause of last system reset: `0`=caused by external reset signal, `1`=caused by watchdog

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.