OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_application_image.vhd] - Diff between revs 33 and 34

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 33 Rev 34
Line 4... Line 4...
library ieee;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_1164.all;
 
 
package neorv32_application_image is
package neorv32_application_image is
 
 
  type application_init_image_t is array (0 to 665) of std_ulogic_vector(31 downto 0);
  type application_init_image_t is array (0 to 668) of std_ulogic_vector(31 downto 0);
  constant application_init_image : application_init_image_t := (
  constant application_init_image : application_init_image_t := (
    00000000 => x"00000093",
    00000000 => x"00000093",
    00000001 => x"00000113",
    00000001 => x"00000113",
    00000002 => x"00000193",
    00000002 => x"00000193",
    00000003 => x"00000213",
    00000003 => x"00000213",
Line 58... Line 58...
    00000047 => x"00c5d863",
    00000047 => x"00c5d863",
    00000048 => x"00058023",
    00000048 => x"00058023",
    00000049 => x"00158593",
    00000049 => x"00158593",
    00000050 => x"ff5ff06f",
    00000050 => x"ff5ff06f",
    00000051 => x"00001597",
    00000051 => x"00001597",
    00000052 => x"99858593",
    00000052 => x"9a458593",
    00000053 => x"80000617",
    00000053 => x"80000617",
    00000054 => x"f2c60613",
    00000054 => x"f2c60613",
    00000055 => x"80000697",
    00000055 => x"80000697",
    00000056 => x"f2468693",
    00000056 => x"f2468693",
    00000057 => x"00d65c63",
    00000057 => x"00d65c63",
Line 240... Line 240...
    00000229 => x"00812c23",
    00000229 => x"00812c23",
    00000230 => x"01312623",
    00000230 => x"01312623",
    00000231 => x"00112e23",
    00000231 => x"00112e23",
    00000232 => x"01c00413",
    00000232 => x"01c00413",
    00000233 => x"2ec000ef",
    00000233 => x"2ec000ef",
    00000234 => x"a5448493",
    00000234 => x"a6048493",
    00000235 => x"ffc00993",
    00000235 => x"ffc00993",
    00000236 => x"008957b3",
    00000236 => x"008957b3",
    00000237 => x"00f7f793",
    00000237 => x"00f7f793",
    00000238 => x"00f487b3",
    00000238 => x"00f487b3",
    00000239 => x"0007c503",
    00000239 => x"0007c503",
Line 278... Line 278...
    00000267 => x"00040513",
    00000267 => x"00040513",
    00000268 => x"f49ff0ef",
    00000268 => x"f49ff0ef",
    00000269 => x"0400006f",
    00000269 => x"0400006f",
    00000270 => x"00001737",
    00000270 => x"00001737",
    00000271 => x"00279793",
    00000271 => x"00279793",
    00000272 => x"9ac70713",
    00000272 => x"9b870713",
    00000273 => x"00e787b3",
    00000273 => x"00e787b3",
    00000274 => x"0007a783",
    00000274 => x"0007a783",
    00000275 => x"00078067",
    00000275 => x"00078067",
    00000276 => x"00001737",
    00000276 => x"00001737",
    00000277 => x"00241793",
    00000277 => x"00241793",
    00000278 => x"9f070713",
    00000278 => x"9fc70713",
    00000279 => x"00e787b3",
    00000279 => x"00e787b3",
    00000280 => x"0007a783",
    00000280 => x"0007a783",
    00000281 => x"00078067",
    00000281 => x"00078067",
    00000282 => x"00001537",
    00000282 => x"00001537",
    00000283 => x"82450513",
    00000283 => x"82450513",
    00000284 => x"220000ef",
    00000284 => x"220000ef",
    00000285 => x"00001537",
    00000285 => x"00001537",
    00000286 => x"99c50513",
    00000286 => x"9a850513",
    00000287 => x"214000ef",
    00000287 => x"214000ef",
    00000288 => x"34002573",
    00000288 => x"34002573",
    00000289 => x"ef5ff0ef",
    00000289 => x"ef5ff0ef",
    00000290 => x"00001537",
    00000290 => x"00001537",
    00000291 => x"9a050513",
    00000291 => x"9ac50513",
    00000292 => x"200000ef",
    00000292 => x"200000ef",
    00000293 => x"34302573",
    00000293 => x"34302573",
    00000294 => x"ee1ff0ef",
    00000294 => x"ee1ff0ef",
    00000295 => x"00812403",
    00000295 => x"00812403",
    00000296 => x"00c12083",
    00000296 => x"00c12083",
    00000297 => x"00001537",
    00000297 => x"00001537",
    00000298 => x"a4c50513",
    00000298 => x"a5850513",
    00000299 => x"01010113",
    00000299 => x"01010113",
    00000300 => x"1e00006f",
    00000300 => x"1e00006f",
    00000301 => x"00001537",
    00000301 => x"00001537",
    00000302 => x"84450513",
    00000302 => x"84450513",
    00000303 => x"fb5ff06f",
    00000303 => x"fb5ff06f",
Line 371... Line 371...
    00000360 => x"00812423",
    00000360 => x"00812423",
    00000361 => x"00912223",
    00000361 => x"00912223",
    00000362 => x"301027f3",
    00000362 => x"301027f3",
    00000363 => x"00079863",
    00000363 => x"00079863",
    00000364 => x"00001537",
    00000364 => x"00001537",
    00000365 => x"a2050513",
    00000365 => x"a2c50513",
    00000366 => x"0d8000ef",
    00000366 => x"0d8000ef",
    00000367 => x"1e000793",
    00000367 => x"1e000793",
    00000368 => x"30579073",
    00000368 => x"30579073",
    00000369 => x"00000413",
    00000369 => x"00000413",
    00000370 => x"01000493",
    00000370 => x"01000493",
Line 620... Line 620...
    00000609 => x"75727265",
    00000609 => x"75727265",
    00000610 => x"33207470",
    00000610 => x"33207470",
    00000611 => x"00000000",
    00000611 => x"00000000",
    00000612 => x"6e6b6e55",
    00000612 => x"6e6b6e55",
    00000613 => x"206e776f",
    00000613 => x"206e776f",
    00000614 => x"00000000",
    00000614 => x"70617274",
    00000615 => x"00204020",
    00000615 => x"75616320",
    00000616 => x"544d202c",
    00000616 => x"203a6573",
    00000617 => x"3d4c4156",
    00000617 => x"00000000",
    00000618 => x"00000000",
    00000618 => x"00204020",
    00000619 => x"00000514",
    00000619 => x"544d202c",
    00000620 => x"00000420",
    00000620 => x"3d4c4156",
    00000621 => x"00000420",
    00000621 => x"00000000",
    00000622 => x"00000420",
    00000622 => x"00000514",
    00000623 => x"00000520",
    00000623 => x"00000420",
    00000624 => x"00000420",
    00000624 => x"00000420",
    00000625 => x"00000420",
    00000625 => x"00000420",
    00000626 => x"00000420",
    00000626 => x"00000520",
    00000627 => x"0000052c",
    00000627 => x"00000420",
    00000628 => x"00000420",
    00000628 => x"00000420",
    00000629 => x"00000420",
    00000629 => x"00000420",
    00000630 => x"00000420",
    00000630 => x"0000052c",
    00000631 => x"00000420",
    00000631 => x"00000420",
    00000632 => x"00000538",
    00000632 => x"00000420",
    00000633 => x"00000544",
    00000633 => x"00000420",
    00000634 => x"00000550",
    00000634 => x"00000420",
    00000635 => x"0000055c",
    00000635 => x"00000538",
    00000636 => x"00000468",
    00000636 => x"00000544",
    00000637 => x"000004b4",
    00000637 => x"00000550",
    00000638 => x"000004c0",
    00000638 => x"0000055c",
    00000639 => x"000004cc",
    00000639 => x"00000468",
    00000640 => x"000004d8",
    00000640 => x"000004b4",
    00000641 => x"000004e4",
    00000641 => x"000004c0",
    00000642 => x"000004f0",
    00000642 => x"000004cc",
    00000643 => x"000004fc",
    00000643 => x"000004d8",
    00000644 => x"00000420",
    00000644 => x"000004e4",
    00000645 => x"00000420",
    00000645 => x"000004f0",
    00000646 => x"00000420",
    00000646 => x"000004fc",
    00000647 => x"00000508",
    00000647 => x"00000420",
    00000648 => x"4554523c",
    00000648 => x"00000420",
    00000649 => x"4157203e",
    00000649 => x"00000420",
    00000650 => x"4e494e52",
    00000650 => x"00000508",
    00000651 => x"43202147",
    00000651 => x"4554523c",
    00000652 => x"43205550",
    00000652 => x"4157203e",
    00000653 => x"73205253",
    00000653 => x"4e494e52",
    00000654 => x"65747379",
    00000654 => x"43202147",
    00000655 => x"6f6e206d",
    00000655 => x"43205550",
    00000656 => x"76612074",
    00000656 => x"73205253",
    00000657 => x"616c6961",
    00000657 => x"65747379",
    00000658 => x"21656c62",
    00000658 => x"6f6e206d",
    00000659 => x"522f3c20",
    00000659 => x"76612074",
    00000660 => x"003e4554",
    00000660 => x"616c6961",
    00000661 => x"33323130",
    00000661 => x"21656c62",
    00000662 => x"37363534",
    00000662 => x"522f3c20",
    00000663 => x"42413938",
    00000663 => x"003e4554",
    00000664 => x"46454443",
    00000664 => x"33323130",
 
    00000665 => x"37363534",
 
    00000666 => x"42413938",
 
    00000667 => x"46454443",
    others   => x"00000000"
    others   => x"00000000"
  );
  );
 
 
end neorv32_application_image;
end neorv32_application_image;
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.