OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_application_image.vhd] - Diff between revs 34 and 35

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 34 Rev 35
Line 4... Line 4...
library ieee;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_1164.all;
 
 
package neorv32_application_image is
package neorv32_application_image is
 
 
  type application_init_image_t is array (0 to 668) of std_ulogic_vector(31 downto 0);
  type application_init_image_t is array (0 to 669) of std_ulogic_vector(31 downto 0);
  constant application_init_image : application_init_image_t := (
  constant application_init_image : application_init_image_t := (
    00000000 => x"00000093",
    00000000 => x"00000093",
    00000001 => x"00000113",
    00000001 => x"00000113",
    00000002 => x"00000193",
    00000002 => x"00000193",
    00000003 => x"00000213",
    00000003 => x"00000213",
Line 58... Line 58...
    00000047 => x"00c5d863",
    00000047 => x"00c5d863",
    00000048 => x"00058023",
    00000048 => x"00058023",
    00000049 => x"00158593",
    00000049 => x"00158593",
    00000050 => x"ff5ff06f",
    00000050 => x"ff5ff06f",
    00000051 => x"00001597",
    00000051 => x"00001597",
    00000052 => x"9a458593",
    00000052 => x"9a858593",
    00000053 => x"80000617",
    00000053 => x"80000617",
    00000054 => x"f2c60613",
    00000054 => x"f2c60613",
    00000055 => x"80000697",
    00000055 => x"80000697",
    00000056 => x"f2468693",
    00000056 => x"f2468693",
    00000057 => x"00d65c63",
    00000057 => x"00d65c63",
Line 102... Line 102...
    00000091 => x"00000593",
    00000091 => x"00000593",
    00000092 => x"b0050513",
    00000092 => x"b0050513",
    00000093 => x"00112623",
    00000093 => x"00112623",
    00000094 => x"00812423",
    00000094 => x"00812423",
    00000095 => x"478000ef",
    00000095 => x"478000ef",
    00000096 => x"5a8000ef",
    00000096 => x"568000ef",
    00000097 => x"02050a63",
    00000097 => x"02050a63",
    00000098 => x"410000ef",
    00000098 => x"410000ef",
    00000099 => x"78800513",
    00000099 => x"78800513",
    00000100 => x"500000ef",
    00000100 => x"500000ef",
    00000101 => x"00000513",
    00000101 => x"00000513",
    00000102 => x"5a0000ef",
    00000102 => x"560000ef",
    00000103 => x"00000413",
    00000103 => x"00000413",
    00000104 => x"0ff47513",
    00000104 => x"0ff47513",
    00000105 => x"594000ef",
    00000105 => x"554000ef",
    00000106 => x"0c800513",
    00000106 => x"0c800513",
    00000107 => x"53c000ef",
    00000107 => x"554000ef",
    00000108 => x"00140413",
    00000108 => x"00140413",
    00000109 => x"fedff06f",
    00000109 => x"fedff06f",
    00000110 => x"76400513",
    00000110 => x"76400513",
    00000111 => x"4d4000ef",
    00000111 => x"4d4000ef",
    00000112 => x"00c12083",
    00000112 => x"00c12083",
Line 240... Line 240...
    00000229 => x"00812c23",
    00000229 => x"00812c23",
    00000230 => x"01312623",
    00000230 => x"01312623",
    00000231 => x"00112e23",
    00000231 => x"00112e23",
    00000232 => x"01c00413",
    00000232 => x"01c00413",
    00000233 => x"2ec000ef",
    00000233 => x"2ec000ef",
    00000234 => x"a6048493",
    00000234 => x"a6448493",
    00000235 => x"ffc00993",
    00000235 => x"ffc00993",
    00000236 => x"008957b3",
    00000236 => x"008957b3",
    00000237 => x"00f7f793",
    00000237 => x"00f7f793",
    00000238 => x"00f487b3",
    00000238 => x"00f487b3",
    00000239 => x"0007c503",
    00000239 => x"0007c503",
Line 278... Line 278...
    00000267 => x"00040513",
    00000267 => x"00040513",
    00000268 => x"f49ff0ef",
    00000268 => x"f49ff0ef",
    00000269 => x"0400006f",
    00000269 => x"0400006f",
    00000270 => x"00001737",
    00000270 => x"00001737",
    00000271 => x"00279793",
    00000271 => x"00279793",
    00000272 => x"9b870713",
    00000272 => x"9bc70713",
    00000273 => x"00e787b3",
    00000273 => x"00e787b3",
    00000274 => x"0007a783",
    00000274 => x"0007a783",
    00000275 => x"00078067",
    00000275 => x"00078067",
    00000276 => x"00001737",
    00000276 => x"00001737",
    00000277 => x"00241793",
    00000277 => x"00241793",
    00000278 => x"9fc70713",
    00000278 => x"a0070713",
    00000279 => x"00e787b3",
    00000279 => x"00e787b3",
    00000280 => x"0007a783",
    00000280 => x"0007a783",
    00000281 => x"00078067",
    00000281 => x"00078067",
    00000282 => x"00001537",
    00000282 => x"00001537",
    00000283 => x"82450513",
    00000283 => x"82450513",
Line 297... Line 297...
    00000286 => x"9a850513",
    00000286 => x"9a850513",
    00000287 => x"214000ef",
    00000287 => x"214000ef",
    00000288 => x"34002573",
    00000288 => x"34002573",
    00000289 => x"ef5ff0ef",
    00000289 => x"ef5ff0ef",
    00000290 => x"00001537",
    00000290 => x"00001537",
    00000291 => x"9ac50513",
    00000291 => x"9b050513",
    00000292 => x"200000ef",
    00000292 => x"200000ef",
    00000293 => x"34302573",
    00000293 => x"34302573",
    00000294 => x"ee1ff0ef",
    00000294 => x"ee1ff0ef",
    00000295 => x"00812403",
    00000295 => x"00812403",
    00000296 => x"00c12083",
    00000296 => x"00c12083",
    00000297 => x"00001537",
    00000297 => x"00001537",
    00000298 => x"a5850513",
    00000298 => x"a5c50513",
    00000299 => x"01010113",
    00000299 => x"01010113",
    00000300 => x"1e00006f",
    00000300 => x"1e00006f",
    00000301 => x"00001537",
    00000301 => x"00001537",
    00000302 => x"84450513",
    00000302 => x"84450513",
    00000303 => x"fb5ff06f",
    00000303 => x"fb5ff06f",
Line 371... Line 371...
    00000360 => x"00812423",
    00000360 => x"00812423",
    00000361 => x"00912223",
    00000361 => x"00912223",
    00000362 => x"301027f3",
    00000362 => x"301027f3",
    00000363 => x"00079863",
    00000363 => x"00079863",
    00000364 => x"00001537",
    00000364 => x"00001537",
    00000365 => x"a2c50513",
    00000365 => x"a3050513",
    00000366 => x"0d8000ef",
    00000366 => x"0d8000ef",
    00000367 => x"1e000793",
    00000367 => x"1e000793",
    00000368 => x"30579073",
    00000368 => x"30579073",
    00000369 => x"00000413",
    00000369 => x"00000413",
    00000370 => x"01000493",
    00000370 => x"01000493",
Line 448... Line 448...
    00000437 => x"00d00513",
    00000437 => x"00d00513",
    00000438 => x"fa9ff0ef",
    00000438 => x"fa9ff0ef",
    00000439 => x"00048513",
    00000439 => x"00048513",
    00000440 => x"fa1ff0ef",
    00000440 => x"fa1ff0ef",
    00000441 => x"fc9ff06f",
    00000441 => x"fc9ff06f",
    00000442 => x"00050593",
    00000442 => x"fe802503",
    00000443 => x"fe002503",
    00000443 => x"01055513",
    00000444 => x"ff010113",
    00000444 => x"00157513",
    00000445 => x"00112623",
    00000445 => x"00008067",
    00000446 => x"00f55513",
    00000446 => x"f8a02223",
    00000447 => x"044000ef",
    00000447 => x"00008067",
    00000448 => x"00051863",
    00000448 => x"00050593",
    00000449 => x"00c12083",
    00000449 => x"fe002503",
    00000450 => x"01010113",
    00000450 => x"ff010113",
    00000451 => x"00008067",
    00000451 => x"00112623",
    00000452 => x"00000013",
    00000452 => x"00f55513",
    00000453 => x"00000013",
    00000453 => x"02c000ef",
    00000454 => x"00000013",
    00000454 => x"00051863",
    00000455 => x"00000013",
    00000455 => x"00c12083",
    00000456 => x"fff50513",
    00000456 => x"01010113",
    00000457 => x"fddff06f",
    00000457 => x"00008067",
    00000458 => x"fe802503",
    00000458 => x"00000013",
    00000459 => x"01055513",
    00000459 => x"00000013",
    00000460 => x"00157513",
    00000460 => x"00000013",
    00000461 => x"00008067",
    00000461 => x"00000013",
    00000462 => x"f8a02223",
    00000462 => x"fff50513",
    00000463 => x"00008067",
    00000463 => x"fddff06f",
    00000464 => x"00050613",
    00000464 => x"00050613",
    00000465 => x"00000513",
    00000465 => x"00000513",
    00000466 => x"0015f693",
    00000466 => x"0015f693",
    00000467 => x"00068463",
    00000467 => x"00068463",
    00000468 => x"00c50533",
    00000468 => x"00c50533",
Line 624... Line 624...
    00000613 => x"206e776f",
    00000613 => x"206e776f",
    00000614 => x"70617274",
    00000614 => x"70617274",
    00000615 => x"75616320",
    00000615 => x"75616320",
    00000616 => x"203a6573",
    00000616 => x"203a6573",
    00000617 => x"00000000",
    00000617 => x"00000000",
    00000618 => x"00204020",
    00000618 => x"50204020",
    00000619 => x"544d202c",
    00000619 => x"00003d43",
    00000620 => x"3d4c4156",
    00000620 => x"544d202c",
    00000621 => x"00000000",
    00000621 => x"3d4c4156",
    00000622 => x"00000514",
    00000622 => x"00000000",
    00000623 => x"00000420",
    00000623 => x"00000514",
    00000624 => x"00000420",
    00000624 => x"00000420",
    00000625 => x"00000420",
    00000625 => x"00000420",
    00000626 => x"00000520",
    00000626 => x"00000420",
    00000627 => x"00000420",
    00000627 => x"00000520",
    00000628 => x"00000420",
    00000628 => x"00000420",
    00000629 => x"00000420",
    00000629 => x"00000420",
    00000630 => x"0000052c",
    00000630 => x"00000420",
    00000631 => x"00000420",
    00000631 => x"0000052c",
    00000632 => x"00000420",
    00000632 => x"00000420",
    00000633 => x"00000420",
    00000633 => x"00000420",
    00000634 => x"00000420",
    00000634 => x"00000420",
    00000635 => x"00000538",
    00000635 => x"00000420",
    00000636 => x"00000544",
    00000636 => x"00000538",
    00000637 => x"00000550",
    00000637 => x"00000544",
    00000638 => x"0000055c",
    00000638 => x"00000550",
    00000639 => x"00000468",
    00000639 => x"0000055c",
    00000640 => x"000004b4",
    00000640 => x"00000468",
    00000641 => x"000004c0",
    00000641 => x"000004b4",
    00000642 => x"000004cc",
    00000642 => x"000004c0",
    00000643 => x"000004d8",
    00000643 => x"000004cc",
    00000644 => x"000004e4",
    00000644 => x"000004d8",
    00000645 => x"000004f0",
    00000645 => x"000004e4",
    00000646 => x"000004fc",
    00000646 => x"000004f0",
    00000647 => x"00000420",
    00000647 => x"000004fc",
    00000648 => x"00000420",
    00000648 => x"00000420",
    00000649 => x"00000420",
    00000649 => x"00000420",
    00000650 => x"00000508",
    00000650 => x"00000420",
    00000651 => x"4554523c",
    00000651 => x"00000508",
    00000652 => x"4157203e",
    00000652 => x"4554523c",
    00000653 => x"4e494e52",
    00000653 => x"4157203e",
    00000654 => x"43202147",
    00000654 => x"4e494e52",
    00000655 => x"43205550",
    00000655 => x"43202147",
    00000656 => x"73205253",
    00000656 => x"43205550",
    00000657 => x"65747379",
    00000657 => x"73205253",
    00000658 => x"6f6e206d",
    00000658 => x"65747379",
    00000659 => x"76612074",
    00000659 => x"6f6e206d",
    00000660 => x"616c6961",
    00000660 => x"76612074",
    00000661 => x"21656c62",
    00000661 => x"616c6961",
    00000662 => x"522f3c20",
    00000662 => x"21656c62",
    00000663 => x"003e4554",
    00000663 => x"522f3c20",
    00000664 => x"33323130",
    00000664 => x"003e4554",
    00000665 => x"37363534",
    00000665 => x"33323130",
    00000666 => x"42413938",
    00000666 => x"37363534",
    00000667 => x"46454443",
    00000667 => x"42413938",
 
    00000668 => x"46454443",
    others   => x"00000000"
    others   => x"00000000"
  );
  );
 
 
end neorv32_application_image;
end neorv32_application_image;
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.