OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_boot_rom.vhd] - Diff between revs 2 and 22

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 2 Rev 22
Line 54... Line 54...
 
 
  -- local types --
  -- local types --
  type boot_img_t is array (0 to boot_size_c/4-1) of std_ulogic_vector(31 downto 0);
  type boot_img_t is array (0 to boot_size_c/4-1) of std_ulogic_vector(31 downto 0);
 
 
  -- init function --
  -- init function --
 
  -- impure function: returns NOT the same result every time it is evaluated with the same arguments since the source file might have changed
  impure function init_boot_rom(init : bootloader_init_image_t) return boot_img_t is
  impure function init_boot_rom(init : bootloader_init_image_t) return boot_img_t is
    variable mem_v : boot_img_t;
    variable mem_v : boot_img_t;
  begin
  begin
    for i in 0 to boot_size_c/4-1 loop
    mem_v := (others => (others => '0'));
 
    for i in 0 to init'length-1 loop -- init only in range of source data array
      mem_v(i) := init(i);
      mem_v(i) := init(i);
    end loop; -- i
    end loop; -- i
    return mem_v;
    return mem_v;
  end function init_boot_rom;
  end function init_boot_rom;
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.