OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_bootloader_image.vhd] - Diff between revs 55 and 56

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 55 Rev 56
Line 4... Line 4...
library ieee;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_1164.all;
 
 
package neorv32_bootloader_image is
package neorv32_bootloader_image is
 
 
  type bootloader_init_image_t is array (0 to 1009) of std_ulogic_vector(31 downto 0);
  type bootloader_init_image_t is array (0 to 1014) of std_ulogic_vector(31 downto 0);
  constant bootloader_init_image : bootloader_init_image_t := (
  constant bootloader_init_image : bootloader_init_image_t := (
    00000000 => x"00000093",
    00000000 => x"00000093",
    00000001 => x"00000113",
    00000001 => x"00000113",
    00000002 => x"00000193",
    00000002 => x"00000193",
    00000003 => x"00000213",
    00000003 => x"00000213",
Line 17... Line 17...
    00000006 => x"00000393",
    00000006 => x"00000393",
    00000007 => x"00000413",
    00000007 => x"00000413",
    00000008 => x"00000493",
    00000008 => x"00000493",
    00000009 => x"00000713",
    00000009 => x"00000713",
    00000010 => x"00000793",
    00000010 => x"00000793",
    00000011 => x"00000597",
    00000011 => x"80012117",
    00000012 => x"0cc58593",
    00000012 => x"fd010113",
    00000013 => x"30559073",
    00000013 => x"ffc17113",
    00000014 => x"00002537",
    00000014 => x"00010413",
    00000015 => x"80050513",
    00000015 => x"80010197",
    00000016 => x"30051073",
    00000016 => x"7c418193",
    00000017 => x"30401073",
    00000017 => x"00000517",
    00000018 => x"80012117",
    00000018 => x"0b450513",
    00000019 => x"fb410113",
    00000019 => x"30551073",
    00000020 => x"ffc17113",
    00000020 => x"34151073",
    00000021 => x"00010413",
    00000021 => x"34301073",
    00000022 => x"80010197",
    00000022 => x"34201073",
    00000023 => x"7a818193",
    00000023 => x"30001073",
    00000024 => x"f0000593",
    00000024 => x"30401073",
    00000025 => x"0005a023",
    00000025 => x"30601073",
    00000026 => x"00458593",
    00000026 => x"ffa00593",
    00000027 => x"feb01ce3",
    00000027 => x"32059073",
    00000028 => x"80010597",
    00000028 => x"b0001073",
    00000029 => x"f9058593",
    00000029 => x"b8001073",
    00000030 => x"80818613",
    00000030 => x"b0201073",
    00000031 => x"00c5d863",
    00000031 => x"b8201073",
    00000032 => x"00058023",
    00000032 => x"f0000593",
    00000033 => x"00158593",
    00000033 => x"0005a023",
    00000034 => x"ff5ff06f",
    00000034 => x"00458593",
    00000035 => x"00001597",
    00000035 => x"feb01ce3",
    00000036 => x"f3858593",
    00000036 => x"80010597",
    00000037 => x"80010617",
    00000037 => x"f7058593",
    00000038 => x"f6c60613",
    00000038 => x"80818613",
    00000039 => x"80010697",
    00000039 => x"00c5d863",
    00000040 => x"f6468693",
    00000040 => x"00058023",
    00000041 => x"00d65c63",
    00000041 => x"00158593",
    00000042 => x"00058703",
    00000042 => x"ff5ff06f",
    00000043 => x"00e60023",
    00000043 => x"00001597",
    00000044 => x"00158593",
    00000044 => x"f2c58593",
    00000045 => x"00160613",
    00000045 => x"80010617",
    00000046 => x"fedff06f",
    00000046 => x"f4c60613",
    00000047 => x"00000513",
    00000047 => x"80010697",
    00000048 => x"00000593",
    00000048 => x"f4468693",
    00000049 => x"b0001073",
    00000049 => x"00d65c63",
    00000050 => x"b8001073",
    00000050 => x"00058703",
    00000051 => x"b0201073",
    00000051 => x"00e60023",
    00000052 => x"b8201073",
    00000052 => x"00158593",
    00000053 => x"3063d073",
    00000053 => x"00160613",
    00000054 => x"32001073",
    00000054 => x"fedff06f",
    00000055 => x"80000637",
    00000055 => x"00000513",
    00000056 => x"34261073",
    00000056 => x"00000593",
    00000057 => x"060000ef",
    00000057 => x"060000ef",
    00000058 => x"30047073",
    00000058 => x"30047073",
    00000059 => x"00000013",
    00000059 => x"00000013",
    00000060 => x"10500073",
    00000060 => x"10500073",
    00000061 => x"0000006f",
    00000061 => x"0000006f",
Line 132... Line 132...
    00000121 => x"30479073",
    00000121 => x"30479073",
    00000122 => x"30046073",
    00000122 => x"30046073",
    00000123 => x"00000013",
    00000123 => x"00000013",
    00000124 => x"00000013",
    00000124 => x"00000013",
    00000125 => x"ffff1537",
    00000125 => x"ffff1537",
    00000126 => x"edc50513",
    00000126 => x"eec50513",
    00000127 => x"309000ef",
    00000127 => x"309000ef",
    00000128 => x"f1302573",
    00000128 => x"f1302573",
    00000129 => x"260000ef",
    00000129 => x"260000ef",
    00000130 => x"ffff1537",
    00000130 => x"ffff1537",
    00000131 => x"f1450513",
    00000131 => x"f2450513",
    00000132 => x"2f5000ef",
    00000132 => x"2f5000ef",
    00000133 => x"fe002503",
    00000133 => x"fe002503",
    00000134 => x"24c000ef",
    00000134 => x"24c000ef",
    00000135 => x"ffff1537",
    00000135 => x"ffff1537",
    00000136 => x"f1c50513",
    00000136 => x"f2c50513",
    00000137 => x"2e1000ef",
    00000137 => x"2e1000ef",
    00000138 => x"fe402503",
    00000138 => x"fe402503",
    00000139 => x"238000ef",
    00000139 => x"238000ef",
    00000140 => x"ffff1537",
    00000140 => x"ffff1537",
    00000141 => x"f2450513",
    00000141 => x"f3450513",
    00000142 => x"2cd000ef",
    00000142 => x"2cd000ef",
    00000143 => x"30102573",
    00000143 => x"30102573",
    00000144 => x"224000ef",
    00000144 => x"224000ef",
    00000145 => x"ffff1537",
    00000145 => x"ffff1537",
    00000146 => x"f2c50513",
    00000146 => x"f3c50513",
    00000147 => x"2b9000ef",
    00000147 => x"2b9000ef",
    00000148 => x"fc002573",
    00000148 => x"fc002573",
    00000149 => x"210000ef",
    00000149 => x"210000ef",
    00000150 => x"ffff1537",
    00000150 => x"ffff1537",
    00000151 => x"f3450513",
    00000151 => x"f4450513",
    00000152 => x"2a5000ef",
    00000152 => x"2a5000ef",
    00000153 => x"fe802503",
    00000153 => x"fe802503",
    00000154 => x"ffff14b7",
    00000154 => x"ffff14b7",
    00000155 => x"00341413",
    00000155 => x"00341413",
    00000156 => x"1f4000ef",
    00000156 => x"1f4000ef",
    00000157 => x"ffff1537",
    00000157 => x"ffff1537",
    00000158 => x"f3c50513",
    00000158 => x"f4c50513",
    00000159 => x"289000ef",
    00000159 => x"289000ef",
    00000160 => x"ff802503",
    00000160 => x"ff802503",
    00000161 => x"1e0000ef",
    00000161 => x"1e0000ef",
    00000162 => x"f4448513",
    00000162 => x"f5448513",
    00000163 => x"279000ef",
    00000163 => x"279000ef",
    00000164 => x"ff002503",
    00000164 => x"ff002503",
    00000165 => x"1d0000ef",
    00000165 => x"1d0000ef",
    00000166 => x"ffff1537",
    00000166 => x"ffff1537",
    00000167 => x"f5050513",
    00000167 => x"f6050513",
    00000168 => x"265000ef",
    00000168 => x"265000ef",
    00000169 => x"ffc02503",
    00000169 => x"ffc02503",
    00000170 => x"1bc000ef",
    00000170 => x"1bc000ef",
    00000171 => x"f4448513",
    00000171 => x"f5448513",
    00000172 => x"255000ef",
    00000172 => x"255000ef",
    00000173 => x"ff402503",
    00000173 => x"ff402503",
    00000174 => x"1ac000ef",
    00000174 => x"1ac000ef",
    00000175 => x"ffff1537",
    00000175 => x"ffff1537",
    00000176 => x"f5850513",
    00000176 => x"f6850513",
    00000177 => x"241000ef",
    00000177 => x"241000ef",
    00000178 => x"0b9000ef",
    00000178 => x"0b9000ef",
    00000179 => x"00a404b3",
    00000179 => x"00a404b3",
    00000180 => x"0084b433",
    00000180 => x"0084b433",
    00000181 => x"00b40433",
    00000181 => x"00b40433",
    00000182 => x"1d1000ef",
    00000182 => x"1d1000ef",
    00000183 => x"02050263",
    00000183 => x"02050263",
    00000184 => x"ffff1537",
    00000184 => x"ffff1537",
    00000185 => x"f8050513",
    00000185 => x"f9450513",
    00000186 => x"21d000ef",
    00000186 => x"21d000ef",
    00000187 => x"0d9000ef",
    00000187 => x"0d9000ef",
    00000188 => x"02300793",
    00000188 => x"02300793",
    00000189 => x"02f51263",
    00000189 => x"02f51263",
    00000190 => x"00000513",
    00000190 => x"00000513",
Line 213... Line 213...
    00000202 => x"07200a93",
    00000202 => x"07200a93",
    00000203 => x"06800b13",
    00000203 => x"06800b13",
    00000204 => x"07500b93",
    00000204 => x"07500b93",
    00000205 => x"ffff14b7",
    00000205 => x"ffff14b7",
    00000206 => x"ffff1c37",
    00000206 => x"ffff1c37",
    00000207 => x"f8c90513",
    00000207 => x"fa090513",
    00000208 => x"1c5000ef",
    00000208 => x"1c5000ef",
    00000209 => x"155000ef",
    00000209 => x"155000ef",
    00000210 => x"00050413",
    00000210 => x"00050413",
    00000211 => x"129000ef",
    00000211 => x"129000ef",
    00000212 => x"e9498513",
    00000212 => x"ea498513",
    00000213 => x"1b1000ef",
    00000213 => x"1b1000ef",
    00000214 => x"fb4400e3",
    00000214 => x"fb4400e3",
    00000215 => x"01541863",
    00000215 => x"01541863",
    00000216 => x"ffff02b7",
    00000216 => x"ffff02b7",
    00000217 => x"00028067",
    00000217 => x"00028067",
Line 244... Line 244...
    00000233 => x"06500793",
    00000233 => x"06500793",
    00000234 => x"00f41663",
    00000234 => x"00f41663",
    00000235 => x"02c000ef",
    00000235 => x"02c000ef",
    00000236 => x"f8dff06f",
    00000236 => x"f8dff06f",
    00000237 => x"03f00793",
    00000237 => x"03f00793",
    00000238 => x"f94c0513",
    00000238 => x"fa8c0513",
    00000239 => x"00f40463",
    00000239 => x"00f40463",
    00000240 => x"fa848513",
    00000240 => x"fbc48513",
    00000241 => x"141000ef",
    00000241 => x"141000ef",
    00000242 => x"f75ff06f",
    00000242 => x"f75ff06f",
    00000243 => x"ffff1537",
    00000243 => x"ffff1537",
    00000244 => x"db850513",
    00000244 => x"db850513",
    00000245 => x"1310006f",
    00000245 => x"1310006f",
Line 292... Line 292...
    00000281 => x"fe010113",
    00000281 => x"fe010113",
    00000282 => x"01212823",
    00000282 => x"01212823",
    00000283 => x"00050913",
    00000283 => x"00050913",
    00000284 => x"ffff1537",
    00000284 => x"ffff1537",
    00000285 => x"00912a23",
    00000285 => x"00912a23",
    00000286 => x"e6050513",
    00000286 => x"e5450513",
    00000287 => x"ffff14b7",
    00000287 => x"ffff14b7",
    00000288 => x"00812c23",
    00000288 => x"00812c23",
    00000289 => x"01312623",
    00000289 => x"01312623",
    00000290 => x"00112e23",
    00000290 => x"00112e23",
    00000291 => x"01c00413",
    00000291 => x"01c00413",
    00000292 => x"075000ef",
    00000292 => x"075000ef",
    00000293 => x"fb448493",
    00000293 => x"fc848493",
    00000294 => x"ffc00993",
    00000294 => x"ffc00993",
    00000295 => x"008957b3",
    00000295 => x"008957b3",
    00000296 => x"00f7f793",
    00000296 => x"00f7f793",
    00000297 => x"00f487b3",
    00000297 => x"00f487b3",
    00000298 => x"0007c503",
    00000298 => x"0007c503",
Line 371... Line 371...
    00000360 => x"00f41863",
    00000360 => x"00f41863",
    00000361 => x"8041a783",
    00000361 => x"8041a783",
    00000362 => x"00100513",
    00000362 => x"00100513",
    00000363 => x"02079863",
    00000363 => x"02079863",
    00000364 => x"ffff1537",
    00000364 => x"ffff1537",
    00000365 => x"e5450513",
    00000365 => x"e5850513",
    00000366 => x"74c000ef",
    00000366 => x"74c000ef",
    00000367 => x"00040513",
    00000367 => x"00040513",
    00000368 => x"ea5ff0ef",
    00000368 => x"ea5ff0ef",
    00000369 => x"ffff1537",
    00000369 => x"ffff1537",
    00000370 => x"e5c50513",
    00000370 => x"e6c50513",
    00000371 => x"738000ef",
    00000371 => x"738000ef",
    00000372 => x"34102573",
    00000372 => x"34102573",
    00000373 => x"e91ff0ef",
    00000373 => x"e91ff0ef",
    00000374 => x"00500513",
    00000374 => x"00500513",
    00000375 => x"e49ff0ef",
    00000375 => x"e49ff0ef",
Line 517... Line 517...
    00000506 => x"00400513",
    00000506 => x"00400513",
    00000507 => x"0047f793",
    00000507 => x"0047f793",
    00000508 => x"04079663",
    00000508 => x"04079663",
    00000509 => x"02041863",
    00000509 => x"02041863",
    00000510 => x"ffff1537",
    00000510 => x"ffff1537",
    00000511 => x"e6450513",
    00000511 => x"e7450513",
    00000512 => x"504000ef",
    00000512 => x"504000ef",
    00000513 => x"008005b7",
    00000513 => x"008005b7",
    00000514 => x"00040513",
    00000514 => x"00040513",
    00000515 => x"f15ff0ef",
    00000515 => x"f15ff0ef",
    00000516 => x"4788d7b7",
    00000516 => x"4788d7b7",
    00000517 => x"afe78793",
    00000517 => x"afe78793",
    00000518 => x"02f50463",
    00000518 => x"02f50463",
    00000519 => x"00000513",
    00000519 => x"00000513",
    00000520 => x"01c0006f",
    00000520 => x"01c0006f",
    00000521 => x"ffff1537",
    00000521 => x"ffff1537",
    00000522 => x"e8450513",
    00000522 => x"e9450513",
    00000523 => x"4d8000ef",
    00000523 => x"4d8000ef",
    00000524 => x"db1ff0ef",
    00000524 => x"db1ff0ef",
    00000525 => x"fc0518e3",
    00000525 => x"fc0518e3",
    00000526 => x"00300513",
    00000526 => x"00300513",
    00000527 => x"be9ff0ef",
    00000527 => x"be9ff0ef",
Line 554... Line 554...
    00000543 => x"052b9c63",
    00000543 => x"052b9c63",
    00000544 => x"016484b3",
    00000544 => x"016484b3",
    00000545 => x"00200513",
    00000545 => x"00200513",
    00000546 => x"fa049ae3",
    00000546 => x"fa049ae3",
    00000547 => x"ffff1537",
    00000547 => x"ffff1537",
    00000548 => x"e9050513",
    00000548 => x"ea050513",
    00000549 => x"470000ef",
    00000549 => x"470000ef",
    00000550 => x"02c12083",
    00000550 => x"02c12083",
    00000551 => x"02812403",
    00000551 => x"02812403",
    00000552 => x"800007b7",
    00000552 => x"800007b7",
    00000553 => x"0157a023",
    00000553 => x"0157a023",
Line 582... Line 582...
    00000571 => x"f8dff06f",
    00000571 => x"f8dff06f",
    00000572 => x"ff010113",
    00000572 => x"ff010113",
    00000573 => x"00112623",
    00000573 => x"00112623",
    00000574 => x"ea1ff0ef",
    00000574 => x"ea1ff0ef",
    00000575 => x"ffff1537",
    00000575 => x"ffff1537",
    00000576 => x"e9450513",
    00000576 => x"ea450513",
    00000577 => x"400000ef",
    00000577 => x"400000ef",
    00000578 => x"ad1ff0ef",
    00000578 => x"ad1ff0ef",
    00000579 => x"0000006f",
    00000579 => x"0000006f",
    00000580 => x"ff010113",
    00000580 => x"ff010113",
    00000581 => x"00112623",
    00000581 => x"00112623",
Line 670... Line 670...
    00000659 => x"00812a03",
    00000659 => x"00812a03",
    00000660 => x"00412a83",
    00000660 => x"00412a83",
    00000661 => x"02010113",
    00000661 => x"02010113",
    00000662 => x"2ac0006f",
    00000662 => x"2ac0006f",
    00000663 => x"ffff1537",
    00000663 => x"ffff1537",
    00000664 => x"e9850513",
    00000664 => x"ea850513",
    00000665 => x"2a0000ef",
    00000665 => x"2a0000ef",
    00000666 => x"00040513",
    00000666 => x"00040513",
    00000667 => x"9f9ff0ef",
    00000667 => x"9f9ff0ef",
    00000668 => x"ffff1537",
    00000668 => x"ffff1537",
    00000669 => x"ea450513",
    00000669 => x"eb450513",
    00000670 => x"28c000ef",
    00000670 => x"28c000ef",
    00000671 => x"00800537",
    00000671 => x"00800537",
    00000672 => x"9e5ff0ef",
    00000672 => x"9e5ff0ef",
    00000673 => x"ffff1537",
    00000673 => x"ffff1537",
    00000674 => x"ec050513",
    00000674 => x"ed050513",
    00000675 => x"278000ef",
    00000675 => x"278000ef",
    00000676 => x"208000ef",
    00000676 => x"208000ef",
    00000677 => x"00050493",
    00000677 => x"00050493",
    00000678 => x"1dc000ef",
    00000678 => x"1dc000ef",
    00000679 => x"07900793",
    00000679 => x"07900793",
Line 692... Line 692...
    00000681 => x"b3dff0ef",
    00000681 => x"b3dff0ef",
    00000682 => x"00051663",
    00000682 => x"00051663",
    00000683 => x"00300513",
    00000683 => x"00300513",
    00000684 => x"975ff0ef",
    00000684 => x"975ff0ef",
    00000685 => x"ffff1537",
    00000685 => x"ffff1537",
    00000686 => x"ecc50513",
    00000686 => x"edc50513",
    00000687 => x"01045493",
    00000687 => x"01045493",
    00000688 => x"244000ef",
    00000688 => x"244000ef",
    00000689 => x"00148493",
    00000689 => x"00148493",
    00000690 => x"00800937",
    00000690 => x"00800937",
    00000691 => x"fff00993",
    00000691 => x"fff00993",
Line 722... Line 722...
    00000711 => x"02849663",
    00000711 => x"02849663",
    00000712 => x"00898513",
    00000712 => x"00898513",
    00000713 => x"412005b3",
    00000713 => x"412005b3",
    00000714 => x"e41ff0ef",
    00000714 => x"e41ff0ef",
    00000715 => x"ffff1537",
    00000715 => x"ffff1537",
    00000716 => x"e9050513",
    00000716 => x"ea050513",
    00000717 => x"f05ff06f",
    00000717 => x"f05ff06f",
    00000718 => x"00090513",
    00000718 => x"00090513",
    00000719 => x"e85ff0ef",
    00000719 => x"e85ff0ef",
    00000720 => x"01490933",
    00000720 => x"01490933",
    00000721 => x"f91ff06f",
    00000721 => x"f91ff06f",
Line 923... Line 923...
    00000912 => x"0a0a2e2e",
    00000912 => x"0a0a2e2e",
    00000913 => x"00000000",
    00000913 => x"00000000",
    00000914 => x"52450a07",
    00000914 => x"52450a07",
    00000915 => x"5f524f52",
    00000915 => x"5f524f52",
    00000916 => x"00000000",
    00000916 => x"00000000",
    00000917 => x"58450a0a",
    00000917 => x"00007830",
    00000918 => x"00282043",
    00000918 => x"58450a0a",
    00000919 => x"20402029",
    00000919 => x"54504543",
    00000920 => x"00007830",
    00000920 => x"204e4f49",
    00000921 => x"69617741",
    00000921 => x"7561636d",
    00000922 => x"676e6974",
    00000922 => x"003d6573",
    00000923 => x"6f656e20",
    00000923 => x"70204020",
    00000924 => x"32337672",
    00000924 => x"00003d63",
    00000925 => x"6578655f",
    00000925 => x"69617741",
    00000926 => x"6e69622e",
    00000926 => x"676e6974",
    00000927 => x"202e2e2e",
    00000927 => x"6f656e20",
    00000928 => x"00000000",
    00000928 => x"32337672",
    00000929 => x"64616f4c",
    00000929 => x"6578655f",
    00000930 => x"2e676e69",
    00000930 => x"6e69622e",
    00000931 => x"00202e2e",
    00000931 => x"202e2e2e",
    00000932 => x"00004b4f",
    00000932 => x"00000000",
    00000933 => x"0000000a",
    00000933 => x"64616f4c",
    00000934 => x"74697257",
    00000934 => x"2e676e69",
    00000935 => x"78302065",
    00000935 => x"00202e2e",
    00000936 => x"00000000",
    00000936 => x"00004b4f",
    00000937 => x"74796220",
    00000937 => x"0000000a",
    00000938 => x"74207365",
    00000938 => x"74697257",
    00000939 => x"5053206f",
    00000939 => x"78302065",
    00000940 => x"6c662049",
    00000940 => x"00000000",
    00000941 => x"20687361",
    00000941 => x"74796220",
    00000942 => x"78302040",
    00000942 => x"74207365",
    00000943 => x"00000000",
    00000943 => x"5053206f",
    00000944 => x"7928203f",
    00000944 => x"6c662049",
    00000945 => x"20296e2f",
    00000945 => x"20687361",
    00000946 => x"00000000",
    00000946 => x"78302040",
    00000947 => x"616c460a",
    00000947 => x"00000000",
    00000948 => x"6e696873",
    00000948 => x"7928203f",
    00000949 => x"2e2e2e67",
    00000949 => x"20296e2f",
    00000950 => x"00000020",
    00000950 => x"00000000",
    00000951 => x"0a0a0a0a",
    00000951 => x"616c460a",
    00000952 => x"4e203c3c",
    00000952 => x"6e696873",
    00000953 => x"56524f45",
    00000953 => x"2e2e2e67",
    00000954 => x"42203233",
    00000954 => x"00000020",
    00000955 => x"6c746f6f",
    00000955 => x"0a0a0a0a",
    00000956 => x"6564616f",
    00000956 => x"4e203c3c",
    00000957 => x"3e3e2072",
    00000957 => x"56524f45",
    00000958 => x"4c420a0a",
    00000958 => x"42203233",
    00000959 => x"203a5644",
    00000959 => x"6c746f6f",
    00000960 => x"2072614d",
    00000960 => x"6564616f",
    00000961 => x"32203332",
    00000961 => x"3e3e2072",
    00000962 => x"0a313230",
    00000962 => x"4c420a0a",
    00000963 => x"3a565748",
    00000963 => x"203a5644",
    00000964 => x"00002020",
    00000964 => x"20727041",
    00000965 => x"4b4c430a",
    00000965 => x"32203331",
    00000966 => x"0020203a",
    00000966 => x"0a313230",
    00000967 => x"4553550a",
    00000967 => x"3a565748",
    00000968 => x"00203a52",
    00000968 => x"00002020",
    00000969 => x"53494d0a",
    00000969 => x"4b4c430a",
    00000970 => x"00203a41",
    00000970 => x"0020203a",
    00000971 => x"58455a0a",
    00000971 => x"4553550a",
    00000972 => x"00203a54",
    00000972 => x"00203a52",
    00000973 => x"4f52500a",
    00000973 => x"53494d0a",
    00000974 => x"00203a43",
    00000974 => x"00203a41",
    00000975 => x"454d490a",
    00000975 => x"58455a0a",
    00000976 => x"00203a4d",
    00000976 => x"00203a54",
    00000977 => x"74796220",
    00000977 => x"4f52500a",
    00000978 => x"40207365",
    00000978 => x"00203a43",
    00000979 => x"00000020",
    00000979 => x"454d490a",
    00000980 => x"454d440a",
    00000980 => x"00203a4d",
    00000981 => x"00203a4d",
    00000981 => x"74796220",
    00000982 => x"75410a0a",
    00000982 => x"40207365",
    00000983 => x"6f626f74",
    00000983 => x"00000020",
    00000984 => x"6920746f",
    00000984 => x"454d440a",
    00000985 => x"7338206e",
    00000985 => x"00203a4d",
    00000986 => x"7250202e",
    00000986 => x"75410a0a",
    00000987 => x"20737365",
    00000987 => x"6f626f74",
    00000988 => x"2079656b",
    00000988 => x"6920746f",
    00000989 => x"61206f74",
    00000989 => x"3828206e",
    00000990 => x"74726f62",
    00000990 => x"202e7329",
    00000991 => x"00000a2e",
    00000991 => x"73657250",
    00000992 => x"726f6241",
    00000992 => x"656b2073",
    00000993 => x"2e646574",
    00000993 => x"6f742079",
    00000994 => x"00000a0a",
    00000994 => x"6f626120",
    00000995 => x"444d430a",
    00000995 => x"0a2e7472",
    00000996 => x"00203e3a",
    00000996 => x"00000000",
    00000997 => x"53207962",
    00000997 => x"726f6241",
    00000998 => x"68706574",
    00000998 => x"2e646574",
    00000999 => x"4e206e61",
    00000999 => x"00000a0a",
    00001000 => x"69746c6f",
    00001000 => x"444d430a",
    00001001 => x"0000676e",
    00001001 => x"00203e3a",
    00001002 => x"61766e49",
    00001002 => x"53207962",
    00001003 => x"2064696c",
    00001003 => x"68706574",
    00001004 => x"00444d43",
    00001004 => x"4e206e61",
    00001005 => x"33323130",
    00001005 => x"69746c6f",
    00001006 => x"37363534",
    00001006 => x"0000676e",
    00001007 => x"42413938",
    00001007 => x"61766e49",
    00001008 => x"46454443",
    00001008 => x"2064696c",
 
    00001009 => x"00444d43",
 
    00001010 => x"33323130",
 
    00001011 => x"37363534",
 
    00001012 => x"62613938",
 
    00001013 => x"66656463",
    others   => x"00000000"
    others   => x"00000000"
  );
  );
 
 
end neorv32_bootloader_image;
end neorv32_bootloader_image;
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.