OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_bootloader_image.vhd] - Diff between revs 62 and 63

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 62 Rev 63
Line 152... Line 152...
    00000138 => x"30102573",
    00000138 => x"30102573",
    00000139 => x"21c000ef",
    00000139 => x"21c000ef",
    00000140 => x"ffff1537",
    00000140 => x"ffff1537",
    00000141 => x"f5c50513",
    00000141 => x"f5c50513",
    00000142 => x"285000ef",
    00000142 => x"285000ef",
    00000143 => x"fc002573",
    00000143 => x"fe402503",
    00000144 => x"208000ef",
    00000144 => x"ffff1437",
    00000145 => x"ffff1537",
    00000145 => x"204000ef",
    00000146 => x"f6450513",
    00000146 => x"ffff1537",
    00000147 => x"271000ef",
    00000147 => x"f6450513",
    00000148 => x"fe802503",
    00000148 => x"26d000ef",
    00000149 => x"ffff1437",
    00000149 => x"fe802503",
    00000150 => x"1f0000ef",
    00000150 => x"1f0000ef",
    00000151 => x"ffff1537",
    00000151 => x"ffff1537",
    00000152 => x"f6c50513",
    00000152 => x"f6c50513",
    00000153 => x"259000ef",
    00000153 => x"259000ef",
    00000154 => x"ff802503",
    00000154 => x"ff802503",
Line 983... Line 983...
    00000969 => x"6f6c746f",
    00000969 => x"6f6c746f",
    00000970 => x"72656461",
    00000970 => x"72656461",
    00000971 => x"0a3e3e20",
    00000971 => x"0a3e3e20",
    00000972 => x"444c420a",
    00000972 => x"444c420a",
    00000973 => x"41203a56",
    00000973 => x"41203a56",
    00000974 => x"20206775",
    00000974 => x"31206775",
    00000975 => x"30322038",
    00000975 => x"30322039",
    00000976 => x"480a3132",
    00000976 => x"480a3132",
    00000977 => x"203a5657",
    00000977 => x"203a5657",
    00000978 => x"00000020",
    00000978 => x"00000020",
    00000979 => x"4b4c430a",
    00000979 => x"4b4c430a",
    00000980 => x"0020203a",
    00000980 => x"0020203a",

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.