OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_cpu_cp_muldiv.vhd] - Diff between revs 45 and 47

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 45 Rev 47
Line 300... Line 300...
  div_res <= div_sign_comp when (div_res_corr = '1') and (opy_is_zero = '0') else div_sign_comp_in;
  div_res <= div_sign_comp when (div_res_corr = '1') and (opy_is_zero = '0') else div_sign_comp_in;
 
 
 
 
  -- Data Output ----------------------------------------------------------------------------
  -- Data Output ----------------------------------------------------------------------------
  -- -------------------------------------------------------------------------------------------
  -- -------------------------------------------------------------------------------------------
  operation_result: process(valid, cp_op_ff, mul_product, div_res, quotient, opy_is_zero, rs1, remainder)
  operation_result: process(clk_i)
  begin
  begin
 
    if rising_edge(clk_i) then
 
      res_o <= (others => '0');
    if (valid = '1') then
    if (valid = '1') then
      case cp_op_ff is
      case cp_op_ff is
        when cp_op_mul_c =>
        when cp_op_mul_c =>
          res_o <= mul_product(31 downto 00);
          res_o <= mul_product(31 downto 00);
        when cp_op_mulh_c | cp_op_mulhsu_c | cp_op_mulhu_c =>
        when cp_op_mulh_c | cp_op_mulhsu_c | cp_op_mulhu_c =>
Line 321... Line 323...
            res_o <= rs1;
            res_o <= rs1;
          end if;
          end if;
        when others => -- cp_op_remu_c
        when others => -- cp_op_remu_c
          res_o <= remainder;
          res_o <= remainder;
      end case;
      end case;
    else
      end if;
      res_o <= (others => '0');
 
    end if;
    end if;
  end process operation_result;
  end process operation_result;
 
 
  -- status output --
  -- status output --
  valid_o <= valid;
  valid_o <= valid;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.