Line 1... |
Line 1... |
-- #################################################################################################
|
-- #################################################################################################
|
-- # << NEORV32 - CPU Register File >> #
|
-- # << NEORV32 - CPU Register File >> #
|
-- # ********************************************************************************************* #
|
-- # ********************************************************************************************* #
|
-- # General purpose data registers. 32 entries for normal mode, 16 entries for embedded mode when #
|
-- # General purpose data registers. 32 entries for normal mode, 16 entries for embedded mode when #
|
-- # RISC-V M extension is enabled. R0 output is hardwired to zero. #
|
-- # RISC-V M extension is enabled. x0 output is allways set to zero. #
|
-- # ********************************************************************************************* #
|
-- # ********************************************************************************************* #
|
-- # BSD 3-Clause License #
|
-- # BSD 3-Clause License #
|
-- # #
|
-- # #
|
-- # Copyright (c) 2020, Stephan Nolting. All rights reserved. #
|
-- # Copyright (c) 2020, Stephan Nolting. All rights reserved. #
|
-- # #
|
-- # #
|
Line 67... |
Line 67... |
type reg_file_t is array (31 downto 0) of std_ulogic_vector(data_width_c-1 downto 0);
|
type reg_file_t is array (31 downto 0) of std_ulogic_vector(data_width_c-1 downto 0);
|
type reg_file_emb_t is array (15 downto 0) of std_ulogic_vector(data_width_c-1 downto 0);
|
type reg_file_emb_t is array (15 downto 0) of std_ulogic_vector(data_width_c-1 downto 0);
|
signal reg_file : reg_file_t;
|
signal reg_file : reg_file_t;
|
signal reg_file_emb : reg_file_emb_t;
|
signal reg_file_emb : reg_file_emb_t;
|
signal rf_write_data : std_ulogic_vector(data_width_c-1 downto 0); -- actual write-back data
|
signal rf_write_data : std_ulogic_vector(data_width_c-1 downto 0); -- actual write-back data
|
|
signal valid_wr : std_ulogic; -- writing not to r0
|
signal rs1_read : std_ulogic_vector(data_width_c-1 downto 0); -- internal operand rs1
|
signal rs1_read : std_ulogic_vector(data_width_c-1 downto 0); -- internal operand rs1
|
signal rs2_read : std_ulogic_vector(data_width_c-1 downto 0); -- internal operand rs2
|
signal rs2_read : std_ulogic_vector(data_width_c-1 downto 0); -- internal operand rs2
|
|
|
-- reading from r0? --
|
-- reading from r0? --
|
signal rs1_clear, rs2_clear : std_ulogic;
|
signal rs1_clear, rs2_clear : std_ulogic;
|
Line 101... |
Line 102... |
when "10" => rf_write_data <= pc_i;
|
when "10" => rf_write_data <= pc_i;
|
when others => rf_write_data <= csr_i;
|
when others => rf_write_data <= csr_i;
|
end case;
|
end case;
|
end process input_mux;
|
end process input_mux;
|
|
|
|
-- only write if destination is not x0 (pretty irrelevant, but might save some power) --
|
|
valid_wr <= or_all_f(ctrl_i(ctrl_rf_rd_adr4_c downto ctrl_rf_rd_adr0_c)) when (CPU_EXTENSION_RISCV_E = false) else
|
|
or_all_f(ctrl_i(ctrl_rf_rd_adr3_c downto ctrl_rf_rd_adr0_c));
|
|
|
|
|
-- Register file read/write access --------------------------------------------------------
|
-- Register file read/write access --------------------------------------------------------
|
-- -------------------------------------------------------------------------------------------
|
-- -------------------------------------------------------------------------------------------
|
rf_access: process(clk_i)
|
rf_access: process(clk_i)
|
begin
|
begin
|
Line 118... |
Line 123... |
end if;
|
end if;
|
if (ctrl_i(ctrl_rf_rs2_adr4_c downto ctrl_rf_rs2_adr0_c) = "00000") then
|
if (ctrl_i(ctrl_rf_rs2_adr4_c downto ctrl_rf_rs2_adr0_c) = "00000") then
|
rs2_clear <= '1';
|
rs2_clear <= '1';
|
end if;
|
end if;
|
-- write --
|
-- write --
|
if (ctrl_i(ctrl_rf_wb_en_c) = '1') then -- valid write-back
|
if (ctrl_i(ctrl_rf_wb_en_c) = '1') and (valid_wr = '1') then -- valid write-back
|
reg_file(to_integer(unsigned(ctrl_i(ctrl_rf_rd_adr4_c downto ctrl_rf_rd_adr0_c)))) <= rf_write_data;
|
reg_file(to_integer(unsigned(ctrl_i(ctrl_rf_rd_adr4_c downto ctrl_rf_rd_adr0_c)))) <= rf_write_data;
|
end if;
|
end if;
|
-- read --
|
-- read --
|
rs1_read <= reg_file(to_integer(unsigned(ctrl_i(ctrl_rf_rs1_adr4_c downto ctrl_rf_rs1_adr0_c))));
|
rs1_read <= reg_file(to_integer(unsigned(ctrl_i(ctrl_rf_rs1_adr4_c downto ctrl_rf_rs1_adr0_c))));
|
rs2_read <= reg_file(to_integer(unsigned(ctrl_i(ctrl_rf_rs2_adr4_c downto ctrl_rf_rs2_adr0_c))));
|
rs2_read <= reg_file(to_integer(unsigned(ctrl_i(ctrl_rf_rs2_adr4_c downto ctrl_rf_rs2_adr0_c))));
|
Line 136... |
Line 141... |
end if;
|
end if;
|
if (ctrl_i(ctrl_rf_rs2_adr3_c downto ctrl_rf_rs2_adr0_c) = "0000") then
|
if (ctrl_i(ctrl_rf_rs2_adr3_c downto ctrl_rf_rs2_adr0_c) = "0000") then
|
rs2_clear <= '1';
|
rs2_clear <= '1';
|
end if;
|
end if;
|
-- write --
|
-- write --
|
if (ctrl_i(ctrl_rf_wb_en_c) = '1') then -- valid write-back
|
if (ctrl_i(ctrl_rf_wb_en_c) = '1') and (valid_wr = '1') then -- valid write-back
|
reg_file_emb(to_integer(unsigned(ctrl_i(ctrl_rf_rd_adr3_c downto ctrl_rf_rd_adr0_c)))) <= rf_write_data;
|
reg_file_emb(to_integer(unsigned(ctrl_i(ctrl_rf_rd_adr3_c downto ctrl_rf_rd_adr0_c)))) <= rf_write_data;
|
end if;
|
end if;
|
-- read --
|
-- read --
|
rs1_read <= reg_file_emb(to_integer(unsigned(ctrl_i(ctrl_rf_rs1_adr3_c downto ctrl_rf_rs1_adr0_c))));
|
rs1_read <= reg_file_emb(to_integer(unsigned(ctrl_i(ctrl_rf_rs1_adr3_c downto ctrl_rf_rs1_adr0_c))));
|
rs2_read <= reg_file_emb(to_integer(unsigned(ctrl_i(ctrl_rf_rs2_adr3_c downto ctrl_rf_rs2_adr0_c))));
|
rs2_read <= reg_file_emb(to_integer(unsigned(ctrl_i(ctrl_rf_rs2_adr3_c downto ctrl_rf_rs2_adr0_c))));
|