OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_cpu_regfile.vhd] - Diff between revs 27 and 36

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 27 Rev 36
Line 1... Line 1...
-- #################################################################################################
-- #################################################################################################
-- # << NEORV32 - CPU Register File >>                                                             #
-- # << NEORV32 - CPU Data Register File >>                                                        #
-- # ********************************************************************************************* #
-- # ********************************************************************************************* #
-- # General purpose data registers. 32 entries for normal mode, 16 entries for embedded mode when #
-- # General purpose data register file. 32 entries for normal mode (I), 16 entries for embedded   #
-- # RISC-V "E" extension is enabled. Register zero (r0/x0) is a normal physical registers, that   #
-- # mode (E) when RISC-V "E" extension is enabled. Register zero (r0) is a normal physical        #
-- # has to be initialized to zero by the CPU control system. For normal operations, x0 cannot be  #
-- # registers, that has to be initialized to zero by the CPU control system. For normal           #
-- # written.                                                                                      #
-- # operations r0 cannot be written. The register file uses synchronous reads. Hence it can be    #
 
-- # mapped to FPGA block RAM.                                                                     #
-- # ********************************************************************************************* #
-- # ********************************************************************************************* #
-- # BSD 3-Clause License                                                                          #
-- # BSD 3-Clause License                                                                          #
-- #                                                                                               #
-- #                                                                                               #
-- # Copyright (c) 2020, Stephan Nolting. All rights reserved.                                     #
-- # Copyright (c) 2020, Stephan Nolting. All rights reserved.                                     #
-- #                                                                                               #
-- #                                                                                               #
Line 69... Line 70...
  type   reg_file_t is array (31 downto 0) of std_ulogic_vector(data_width_c-1 downto 0);
  type   reg_file_t is array (31 downto 0) of std_ulogic_vector(data_width_c-1 downto 0);
  type   reg_file_emb_t is array (15 downto 0) of std_ulogic_vector(data_width_c-1 downto 0);
  type   reg_file_emb_t is array (15 downto 0) of std_ulogic_vector(data_width_c-1 downto 0);
  signal reg_file      : reg_file_t;
  signal reg_file      : reg_file_t;
  signal reg_file_emb  : reg_file_emb_t;
  signal reg_file_emb  : reg_file_emb_t;
  signal rf_write_data : std_ulogic_vector(data_width_c-1 downto 0); -- actual write-back data
  signal rf_write_data : std_ulogic_vector(data_width_c-1 downto 0); -- actual write-back data
  signal valid_wr      : std_ulogic; -- writing not to r0
  signal rd_is_r0      : std_ulogic; -- writing to r0?
 
  signal rf_we         : std_ulogic;
 
  signal dst_addr      : std_ulogic_vector(4 downto 0); -- destination address
  -- attributes - these are *NOT mandatory*; just for footprint / timing optimization --
 
  -- -------------------------------------------------------------------------------- --
 
 
 
  -- lattice radiant --
 
  attribute syn_ramstyle : string;
 
  attribute syn_ramstyle of reg_file     : signal is "no_rw_check";
 
  attribute syn_ramstyle of reg_file_emb : signal is "no_rw_check";
 
 
 
  -- intel quartus prime --
 
  attribute ramstyle : string;
 
  attribute ramstyle of reg_file     : signal is "no_rw_check";
 
  attribute ramstyle of reg_file_emb : signal is "no_rw_check";
 
 
 
begin
begin
 
 
  -- Input mux ------------------------------------------------------------------------------
  -- Input mux ------------------------------------------------------------------------------
  -- -------------------------------------------------------------------------------------------
  -- -------------------------------------------------------------------------------------------
Line 99... Line 88...
      when "10"   => rf_write_data <= pc_i;
      when "10"   => rf_write_data <= pc_i;
      when others => rf_write_data <= csr_i;
      when others => rf_write_data <= csr_i;
    end case;
    end case;
  end process input_mux;
  end process input_mux;
 
 
  -- only write if destination is not x0; except we are forcing a r0 write access --
  -- check if we are writing to x0 --
  valid_wr <= or_all_f(ctrl_i(ctrl_rf_rd_adr4_c downto ctrl_rf_rd_adr0_c)) or ctrl_i(ctrl_rf_r0_we_c) when (CPU_EXTENSION_RISCV_E = false) else
  rd_is_r0 <= not or_all_f(ctrl_i(ctrl_rf_rd_adr4_c downto ctrl_rf_rd_adr0_c)) when (CPU_EXTENSION_RISCV_E = false) else
              or_all_f(ctrl_i(ctrl_rf_rd_adr3_c downto ctrl_rf_rd_adr0_c)) or ctrl_i(ctrl_rf_r0_we_c);
              not or_all_f(ctrl_i(ctrl_rf_rd_adr3_c downto ctrl_rf_rd_adr0_c));
 
 
 
  -- valid RF write access --
 
  rf_we <= (ctrl_i(ctrl_rf_wb_en_c) and (not rd_is_r0)) or ctrl_i(ctrl_rf_r0_we_c);
 
 
 
  -- destination address --
 
  dst_addr <= ctrl_i(ctrl_rf_rd_adr4_c downto ctrl_rf_rd_adr0_c) when (ctrl_i(ctrl_rf_r0_we_c) = '0') else (others => '0'); -- force dst=r0?
 
 
 
 
  -- Register file read/write access --------------------------------------------------------
  -- Register file read/write access --------------------------------------------------------
  -- -------------------------------------------------------------------------------------------
  -- -------------------------------------------------------------------------------------------
  rf_access: process(clk_i)
  rf_access: process(clk_i)
  begin
  begin
    if rising_edge(clk_i) then -- sync read and write
    if rising_edge(clk_i) then -- sync read and write
      if (CPU_EXTENSION_RISCV_E = false) then -- normal register file with 32 entries
      if (CPU_EXTENSION_RISCV_E = false) then -- normal register file with 32 entries
        -- write --
        if (rf_we = '1') then
        if (ctrl_i(ctrl_rf_wb_en_c) = '1') and ((valid_wr = '1') or (rf_r0_is_reg_c = false)) then -- valid write-back
          reg_file(to_integer(unsigned(dst_addr(4 downto 0)))) <= rf_write_data;
          reg_file(to_integer(unsigned(ctrl_i(ctrl_rf_rd_adr4_c downto ctrl_rf_rd_adr0_c)))) <= rf_write_data;
        else -- read
        end if;
 
        -- read --
 
        rs1_o <= reg_file(to_integer(unsigned(ctrl_i(ctrl_rf_rs1_adr4_c downto ctrl_rf_rs1_adr0_c))));
        rs1_o <= reg_file(to_integer(unsigned(ctrl_i(ctrl_rf_rs1_adr4_c downto ctrl_rf_rs1_adr0_c))));
        rs2_o <= reg_file(to_integer(unsigned(ctrl_i(ctrl_rf_rs2_adr4_c downto ctrl_rf_rs2_adr0_c))));
        rs2_o <= reg_file(to_integer(unsigned(ctrl_i(ctrl_rf_rs2_adr4_c downto ctrl_rf_rs2_adr0_c))));
      else -- embedded register file with 16 entries
 
        -- write --
 
        if (ctrl_i(ctrl_rf_wb_en_c) = '1') and ((valid_wr = '1') or (rf_r0_is_reg_c = false)) then -- valid write-back
 
          reg_file_emb(to_integer(unsigned(ctrl_i(ctrl_rf_rd_adr3_c downto ctrl_rf_rd_adr0_c)))) <= rf_write_data;
 
        end if;
        end if;
        -- read --
      else -- embedded register file with 16 entries
 
        if (rf_we = '1') then
 
          reg_file_emb(to_integer(unsigned(dst_addr(3 downto 0)))) <= rf_write_data;
 
        else -- read
        rs1_o <= reg_file_emb(to_integer(unsigned(ctrl_i(ctrl_rf_rs1_adr3_c downto ctrl_rf_rs1_adr0_c))));
        rs1_o <= reg_file_emb(to_integer(unsigned(ctrl_i(ctrl_rf_rs1_adr3_c downto ctrl_rf_rs1_adr0_c))));
        rs2_o <= reg_file_emb(to_integer(unsigned(ctrl_i(ctrl_rf_rs2_adr3_c downto ctrl_rf_rs2_adr0_c))));
        rs2_o <= reg_file_emb(to_integer(unsigned(ctrl_i(ctrl_rf_rs2_adr3_c downto ctrl_rf_rs2_adr0_c))));
      end if;
      end if;
    end if;
    end if;
 
    end if;
  end process rf_access;
  end process rf_access;
 
 
 
 
end neorv32_cpu_regfile_rtl;
end neorv32_cpu_regfile_rtl;
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.