Line 94... |
Line 94... |
);
|
);
|
end entity;
|
end entity;
|
|
|
architecture neorv32_ProcessorTop_Minimal_rtl of neorv32_ProcessorTop_Minimal is
|
architecture neorv32_ProcessorTop_Minimal_rtl of neorv32_ProcessorTop_Minimal is
|
|
|
|
-- internal IO connection --
|
|
signal con_pwm_o : std_ulogic_vector(59 downto 0);
|
|
|
begin
|
begin
|
|
|
|
-- IO Connection --------------------------------------------------------------------------
|
|
-- -------------------------------------------------------------------------------------------
|
|
|
|
-- PWM --
|
|
pwm_o <= con_pwm_o(IO_PWM_NUM_CH-1 downto 0);
|
|
|
|
|
-- The core of the problem ----------------------------------------------------------------
|
-- The core of the problem ----------------------------------------------------------------
|
-- -------------------------------------------------------------------------------------------
|
-- -------------------------------------------------------------------------------------------
|
neorv32_inst: entity neorv32.neorv32_top
|
neorv32_inst: entity neorv32.neorv32_top
|
generic map (
|
generic map (
|
-- General --
|
-- General --
|
Line 222... |
Line 232... |
-- TWI (available if IO_TWI_EN = true) --
|
-- TWI (available if IO_TWI_EN = true) --
|
twi_sda_io => open, -- twi serial data line
|
twi_sda_io => open, -- twi serial data line
|
twi_scl_io => open, -- twi serial clock line
|
twi_scl_io => open, -- twi serial clock line
|
|
|
-- PWM (available if IO_PWM_NUM_CH > 0) --
|
-- PWM (available if IO_PWM_NUM_CH > 0) --
|
pwm_o => pwm_o, -- pwm channels
|
pwm_o => con_pwm_o, -- pwm channels
|
|
|
-- Custom Functions Subsystem IO --
|
-- Custom Functions Subsystem IO --
|
cfs_in_i => (others => '0'), -- custom CFS inputs conduit
|
cfs_in_i => (others => '0'), -- custom CFS inputs conduit
|
cfs_out_o => open, -- custom CFS outputs conduit
|
cfs_out_o => open, -- custom CFS outputs conduit
|
|
|