Line 174... |
Line 174... |
mtime_i : in std_logic_vector(63 downto 0) := (others => '0'); -- current system time from ext. MTIME (if IO_MTIME_EN = false)
|
mtime_i : in std_logic_vector(63 downto 0) := (others => '0'); -- current system time from ext. MTIME (if IO_MTIME_EN = false)
|
mtime_o : out std_logic_vector(63 downto 0); -- current system time from int. MTIME (if IO_MTIME_EN = true)
|
mtime_o : out std_logic_vector(63 downto 0); -- current system time from int. MTIME (if IO_MTIME_EN = true)
|
-- External platform interrupts (available if XIRQ_NUM_CH > 0) --
|
-- External platform interrupts (available if XIRQ_NUM_CH > 0) --
|
xirq_i : in std_logic_vector(XIRQ_NUM_CH-1 downto 0) := (others => '0'); -- IRQ channels
|
xirq_i : in std_logic_vector(XIRQ_NUM_CH-1 downto 0) := (others => '0'); -- IRQ channels
|
-- CPU Interrupts --
|
-- CPU Interrupts --
|
nm_irq_i : in std_logic := '0'; -- non-maskable interrupt
|
|
mtime_irq_i : in std_logic := '0'; -- machine timer interrupt, available if IO_MTIME_EN = false
|
mtime_irq_i : in std_logic := '0'; -- machine timer interrupt, available if IO_MTIME_EN = false
|
msw_irq_i : in std_logic := '0'; -- machine software interrupt
|
msw_irq_i : in std_logic := '0'; -- machine software interrupt
|
mext_irq_i : in std_logic := '0' -- machine external interrupt
|
mext_irq_i : in std_logic := '0' -- machine external interrupt
|
);
|
);
|
end entity;
|
end entity;
|
Line 249... |
Line 248... |
signal mtime_i_int : std_ulogic_vector(63 downto 0);
|
signal mtime_i_int : std_ulogic_vector(63 downto 0);
|
signal mtime_o_int : std_ulogic_vector(63 downto 0);
|
signal mtime_o_int : std_ulogic_vector(63 downto 0);
|
--
|
--
|
signal xirq_i_int : std_ulogic_vector(XIRQ_NUM_CH-1 downto 0);
|
signal xirq_i_int : std_ulogic_vector(XIRQ_NUM_CH-1 downto 0);
|
--
|
--
|
signal nm_irq_i_int : std_ulogic;
|
|
signal mtime_irq_i_int : std_ulogic;
|
signal mtime_irq_i_int : std_ulogic;
|
signal msw_irq_i_int : std_ulogic;
|
signal msw_irq_i_int : std_ulogic;
|
signal mext_irq_i_int : std_ulogic;
|
signal mext_irq_i_int : std_ulogic;
|
|
|
begin
|
begin
|
Line 395... |
Line 393... |
mtime_i => mtime_i_int, -- current system time from ext. MTIME (if IO_MTIME_EN = false)
|
mtime_i => mtime_i_int, -- current system time from ext. MTIME (if IO_MTIME_EN = false)
|
mtime_o => mtime_o_int, -- current system time from int. MTIME (if IO_MTIME_EN = true)
|
mtime_o => mtime_o_int, -- current system time from int. MTIME (if IO_MTIME_EN = true)
|
-- External platform interrupts (available if XIRQ_NUM_CH > 0) --
|
-- External platform interrupts (available if XIRQ_NUM_CH > 0) --
|
xirq_i => xirq_i_int, -- IRQ channels
|
xirq_i => xirq_i_int, -- IRQ channels
|
-- CPU Interrupts --
|
-- CPU Interrupts --
|
nm_irq_i => nm_irq_i_int, -- non-maskable interrupt
|
|
mtime_irq_i => mtime_irq_i_int, -- machine timer interrupt, available if IO_MTIME_EN = false
|
mtime_irq_i => mtime_irq_i_int, -- machine timer interrupt, available if IO_MTIME_EN = false
|
msw_irq_i => msw_irq_i_int, -- machine software interrupt
|
msw_irq_i => msw_irq_i_int, -- machine software interrupt
|
mext_irq_i => mext_irq_i_int -- machine external interrupt
|
mext_irq_i => mext_irq_i_int -- machine external interrupt
|
);
|
);
|
|
|