Line 122... |
Line 122... |
IO_CFS_EN : boolean := false; -- implement custom functions subsystem (CFS)?
|
IO_CFS_EN : boolean := false; -- implement custom functions subsystem (CFS)?
|
IO_CFS_CONFIG : std_ulogic_vector(31 downto 0) := x"00000000"; -- custom CFS configuration generic
|
IO_CFS_CONFIG : std_ulogic_vector(31 downto 0) := x"00000000"; -- custom CFS configuration generic
|
IO_CFS_IN_SIZE : positive := 32; -- size of CFS input conduit in bits
|
IO_CFS_IN_SIZE : positive := 32; -- size of CFS input conduit in bits
|
IO_CFS_OUT_SIZE : positive := 32; -- size of CFS output conduit in bits
|
IO_CFS_OUT_SIZE : positive := 32; -- size of CFS output conduit in bits
|
IO_NEOLED_EN : boolean := false; -- implement NeoPixel-compatible smart LED interface (NEOLED)?
|
IO_NEOLED_EN : boolean := false; -- implement NeoPixel-compatible smart LED interface (NEOLED)?
|
IO_NEOLED_TX_FIFO : natural := 1 -- NEOLED TX FIFO depth, 1..32k, has to be a power of two
|
IO_NEOLED_TX_FIFO : natural := 1; -- NEOLED TX FIFO depth, 1..32k, has to be a power of two
|
|
IO_GPTMR_EN : boolean := false -- implement general purpose timer (GPTMR)?
|
);
|
);
|
port (
|
port (
|
-- Global control --
|
-- Global control --
|
clk_i : in std_ulogic; -- global clock, rising edge
|
clk_i : in std_ulogic; -- global clock, rising edge
|
rstn_i : in std_ulogic; -- global reset, low-active, async
|
rstn_i : in std_ulogic; -- global reset, low-active, async
|
Line 315... |
Line 316... |
IO_CFS_EN => IO_CFS_EN,
|
IO_CFS_EN => IO_CFS_EN,
|
IO_CFS_CONFIG => IO_CFS_CONFIG,
|
IO_CFS_CONFIG => IO_CFS_CONFIG,
|
IO_CFS_IN_SIZE => IO_CFS_IN_SIZE,
|
IO_CFS_IN_SIZE => IO_CFS_IN_SIZE,
|
IO_CFS_OUT_SIZE => IO_CFS_OUT_SIZE,
|
IO_CFS_OUT_SIZE => IO_CFS_OUT_SIZE,
|
IO_NEOLED_EN => IO_NEOLED_EN,
|
IO_NEOLED_EN => IO_NEOLED_EN,
|
IO_NEOLED_TX_FIFO => IO_NEOLED_TX_FIFO)
|
IO_NEOLED_TX_FIFO => IO_NEOLED_TX_FIFO,
|
|
IO_GPTMR_EN => IO_GPTMR_EN
|
|
)
|
port map (
|
port map (
|
-- Global control --
|
-- Global control --
|
clk_i => clk_i,
|
clk_i => clk_i,
|
rstn_i => rstn_i,
|
rstn_i => rstn_i,
|
|
|