OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [sim/] [README.md] - Diff between revs 40 and 42

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 40 Rev 42
Line 4... Line 4...
 
 
This folder contains a script for simulating the processor using GHDL.
This folder contains a script for simulating the processor using GHDL.
 
 
### [`rtl_modules`](https://github.com/stnolting/neorv32/tree/master/sim/rtl_modules)
### [`rtl_modules`](https://github.com/stnolting/neorv32/tree/master/sim/rtl_modules)
 
 
This folder provides additional/alternative simulation components. The the comments in the according files for more information.
This folder provides additional/alternative simulation components (mainly optimized memory components yet). See the comments in the according files for more information.
 
 
### [`vivado`](https://github.com/stnolting/neorv32/tree/master/sim/vivado)
### [`vivado`](https://github.com/stnolting/neorv32/tree/master/sim/vivado)
 
 
This folder provides an example waveform configuration (for Xilinx ISIM simulator) for the default testbench.
This folder provides an example waveform configuration (for Xilinx ISIM simulator) for the default testbench.
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.