OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [sim/] [README.md] - Diff between revs 64 and 71

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 64 Rev 71
Line 1... Line 1...
# Simulation Source Folder
# Simulation Sources
 
 
 
 
## [simple](simple)
## [simple](simple)
 
 
Simple testbench for the NEORV32 Processor and script for simulation using GHDL.
Simple testbench for the NEORV32 Processor and script for simulation using GHDL.

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.