OpenCores
URL https://opencores.org/ocsvn/ofdm/ofdm/trunk

Subversion Repositories ofdm

[/] [ofdm/] [branches/] [avendor/] [vhdl/] [input.vhd] - Diff between revs 2 and 4

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 2 Rev 4
Line 15... Line 15...
           mem_block : in std_logic;
           mem_block : in std_logic;
           mem_ready : out std_logic;
           mem_ready : out std_logic;
           wen : out std_logic;
           wen : out std_logic;
           address : out std_logic_vector (5 downto 0);
           address : out std_logic_vector (5 downto 0);
           i : out std_logic_vector(11 downto 0);
           i : out std_logic_vector(11 downto 0);
           q : out std_logic_vector(11 downto 0);
           q : out std_logic_vector(11 downto 0)
           );
           );
end input;
end input;
 
 
architecture input of input is
architecture input of input is
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.