OpenCores
URL https://opencores.org/ocsvn/ofdm/ofdm/trunk

Subversion Repositories ofdm

[/] [ofdm/] [branches/] [avendor/] [vhdl/] [rxmodem.vhd] - Diff between revs 2 and 4

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 2 Rev 4
Line 14... Line 14...
            mem_ready     : in  std_logic;
            mem_ready     : in  std_logic;
            Iin           : in  std_logic_vector(11 downto 0);
            Iin           : in  std_logic_vector(11 downto 0);
            mem_block     : out std_logic;
            mem_block     : out std_logic;
            wen           : in  std_logic;
            wen           : in  std_logic;
            addrin_in     : in  std_logic_vector(6 downto 0);
            addrin_in     : in  std_logic_vector(6 downto 0);
            txserial : out std_logic;
            txserial : out std_logic
        );
        );
end rxmodem;
end rxmodem;
 
 
architecture rxmodem of rxmodem is
architecture rxmodem of rxmodem is
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.