OpenCores
URL https://opencores.org/ocsvn/ofdm/ofdm/trunk

Subversion Repositories ofdm

[/] [ofdm/] [branches/] [avendor/] [vhdl/] [txmodem.vhd] - Diff between revs 2 and 4

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 2 Rev 4
Line 28... Line 28...
      mem_block : in  std_logic;
      mem_block : in  std_logic;
      mem_ready : out std_logic;
      mem_ready : out std_logic;
      wen       : out std_logic;
      wen       : out std_logic;
      address   : out std_logic_vector (5 downto 0);
      address   : out std_logic_vector (5 downto 0);
      i         : out std_logic_vector(11 downto 0);
      i         : out std_logic_vector(11 downto 0);
      q         : out std_logic_vector(11 downto 0);
      q         : out std_logic_vector(11 downto 0)
      );
      );
  end component;
  end component;
 
 
  component ofdm
  component ofdm
    generic (
    generic (
Line 73... Line 73...
      mem_block => mem_block,
      mem_block => mem_block,
      mem_ready => mem_ready,
      mem_ready => mem_ready,
      wen       => wen,
      wen       => wen,
      address   => address,
      address   => address,
      i         => i,
      i         => i,
      q         => q,
      q         => q
      pmeta     => open,
      );
      psync     => open,
 
      paddr     => open);
 
 
 
 
 
  ofdm_1 : ofdm
  ofdm_1 : ofdm
    generic map (
    generic map (
      Tx_nRx => 1,
      Tx_nRx => 1,

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.