OpenCores
URL https://opencores.org/ocsvn/open8_urisc/open8_urisc/trunk

Subversion Repositories open8_urisc

[/] [open8_urisc/] [trunk/] [VHDL/] [Open8_pkg.vhd] - Diff between revs 181 and 182

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 181 Rev 182
Line 179... Line 179...
  type DATA_CTRL_TYPE is record
  type DATA_CTRL_TYPE is record
    Src                      : DP_MODES;
    Src                      : DP_MODES;
    Reg                      : SUBOP_TYPE;
    Reg                      : SUBOP_TYPE;
  end record;
  end record;
 
 
 
  constant PC_LSB            : SUBOP_TYPE := "000";
 
  constant PC_MSB            : SUBOP_TYPE := "001";
 
 
  type INT_CTRL_TYPE is record
  type INT_CTRL_TYPE is record
    Mask_Set                 : std_logic;
    Mask_Set                 : std_logic;
    Soft_Ints                : INTERRUPT_BUNDLE;
    Soft_Ints                : INTERRUPT_BUNDLE;
    Incr_ISR                 : std_logic;
    Incr_ISR                 : std_logic;
  end record;
  end record;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.