OpenCores
URL https://opencores.org/ocsvn/open8_urisc/open8_urisc/trunk

Subversion Repositories open8_urisc

[/] [open8_urisc/] [trunk/] [VHDL/] [Open8_pkg.vhd] - Diff between revs 220 and 223

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 220 Rev 223
Line 74... Line 74...
  constant EXT_GP6           : integer := 3;
  constant EXT_GP6           : integer := 3;
  constant EXT_GP7           : integer := 4;
  constant EXT_GP7           : integer := 4;
 
 
  constant OPEN8_NULLBUS     : DATA_TYPE := x"00";
  constant OPEN8_NULLBUS     : DATA_TYPE := x"00";
 
 
 
  type OPEN8_BUS_TYPE is record
 
    Address                  : ADDRESS_TYPE;
 
    Wr_En                    : std_logic;
 
    Wr_Data                  : DATA_TYPE;
 
    Rd_En                    : std_logic;
 
  end record;
 
 
  -- Component declaration
  -- Component declaration
  --  (assumes a 1K RAM at 0x0000 and ROM at the top of the memory map)
  --  (assumes a 1K RAM at 0x0000 and ROM at the top of the memory map)
  component o8_cpu is
  component o8_cpu is
  generic(
  generic(
    Program_Start_Addr       : ADDRESS_TYPE := x"8000";
    Program_Start_Addr       : ADDRESS_TYPE := x"8000";
Line 93... Line 100...
    Reset_Level              : std_logic    := '0' );
    Reset_Level              : std_logic    := '0' );
  port(
  port(
    Clock                    : in  std_logic;
    Clock                    : in  std_logic;
    Reset                    : in  std_logic;
    Reset                    : in  std_logic;
    CPU_Halt                 : in  std_logic;
    CPU_Halt                 : in  std_logic;
    Interrupts               : in  INTERRUPT_BUNDLE;
 
    GP_Flags                 : out EXT_GP_FLAGS;
    GP_Flags                 : out EXT_GP_FLAGS;
    Address                  : out ADDRESS_TYPE;
    Open8_Bus                : out OPEN8_BUS_TYPE;
    Rd_Data                  : in  DATA_TYPE;
    Rd_Data                  : in  DATA_TYPE;
    Rd_Enable                : out std_logic;
    Interrupts               : in  INTERRUPT_BUNDLE
    Wr_Data                  : out DATA_TYPE;
  );
    Wr_Enable                : out std_logic );
 
  end component;
  end component;
 
 
  -- This function is used to calculate RAM parameters, but is generally
  -- This function is used to calculate RAM parameters, but is generally
  --  useful for making things more generic.
  --  useful for making things more generic.
  function ceil_log2 (x : in natural) return natural;
  function ceil_log2 (x : in natural) return natural;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.