OpenCores
URL https://opencores.org/ocsvn/open8_urisc/open8_urisc/trunk

Subversion Repositories open8_urisc

[/] [open8_urisc/] [trunk/] [VHDL/] [Open8_pkg.vhd] - Diff between revs 224 and 226

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 224 Rev 226
Line 38... Line 38...
--                           GP flags.
--                           GP flags.
-- Seth Henry      03/18/20 Added the ceil_log2 function, since it is used in
-- Seth Henry      03/18/20 Added the ceil_log2 function, since it is used in
--                           memory sizing calculations.
--                           memory sizing calculations.
-- Seth Henry      04/09/20 Added the I bit to the exported flags for use in
-- Seth Henry      04/09/20 Added the I bit to the exported flags for use in
--                           memory protection schemes.
--                           memory protection schemes.
 
-- Seth Henry      04/16/20 Added the OPEN8_BUS_TYPE record to simplify
 
--                           peripheral connections.
 
 
library ieee;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_arith.all;
 
 
Line 106... Line 108...
    Clock_Frequency          : real
    Clock_Frequency          : real
  );
  );
  port(
  port(
    Clock                    : in  std_logic;
    Clock                    : in  std_logic;
    PLL_Locked               : in  std_logic;
    PLL_Locked               : in  std_logic;
    CPU_Halt                 : in  std_logic := '0';
    Halt_Req                 : in  std_logic := '0';
 
    Halt_Ack                 : out std_logic;
    Open8_Bus                : out OPEN8_BUS_TYPE;
    Open8_Bus                : out OPEN8_BUS_TYPE;
    Rd_Data                  : in  DATA_TYPE;
    Rd_Data                  : in  DATA_TYPE;
    Interrupts               : in  INTERRUPT_BUNDLE := x"00"
    Interrupts               : in  INTERRUPT_BUNDLE := x"00"
  );
  );
  end component;
  end component;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.