Line 40... |
Line 40... |
-- memory sizing calculations.
|
-- memory sizing calculations.
|
-- Seth Henry 04/09/20 Added the I bit to the exported flags for use in
|
-- Seth Henry 04/09/20 Added the I bit to the exported flags for use in
|
-- memory protection schemes.
|
-- memory protection schemes.
|
-- Seth Henry 04/16/20 Added the OPEN8_BUS_TYPE record to simplify
|
-- Seth Henry 04/16/20 Added the OPEN8_BUS_TYPE record to simplify
|
-- peripheral connections.
|
-- peripheral connections.
|
|
-- Seth Henry 10/21/20 Modified the write data path to use separate
|
|
-- enumerated states rather than reuse the .reg field
|
|
-- to improve performance.
|
|
|
library ieee;
|
library ieee;
|
use ieee.std_logic_1164.all;
|
use ieee.std_logic_1164.all;
|
use ieee.std_logic_arith.all;
|
use ieee.std_logic_arith.all;
|
|
|
Line 233... |
Line 236... |
type SP_CTRL_TYPE is record
|
type SP_CTRL_TYPE is record
|
Oper : SP_MODES;
|
Oper : SP_MODES;
|
end record;
|
end record;
|
|
|
type DP_MODES is ( DATA_BUS_IDLE, DATA_RD_MEM,
|
type DP_MODES is ( DATA_BUS_IDLE, DATA_RD_MEM,
|
DATA_WR_REG, DATA_WR_FLAG, DATA_WR_PC );
|
DATA_WR_REG, DATA_WR_FLAG,
|
|
DATA_WR_PC_L, DATA_WR_PC_H );
|
|
|
type DATA_CTRL_TYPE is record
|
type DATA_CTRL_TYPE is record
|
Src : DP_MODES;
|
Src : DP_MODES;
|
Reg : SUBOP_TYPE;
|
Reg : SUBOP_TYPE;
|
end record;
|
end record;
|
|
|
constant PC_LSB : SUBOP_TYPE := "000";
|
|
constant PC_MSB : SUBOP_TYPE := "001";
|
|
|
|
type INT_CTRL_TYPE is record
|
type INT_CTRL_TYPE is record
|
Mask_Set : std_logic;
|
Mask_Set : std_logic;
|
Soft_Ints : INTERRUPT_BUNDLE;
|
Soft_Ints : INTERRUPT_BUNDLE;
|
Incr_ISR : std_logic;
|
Incr_ISR : std_logic;
|
end record;
|
end record;
|