OpenCores
URL https://opencores.org/ocsvn/open8_urisc/open8_urisc/trunk

Subversion Repositories open8_urisc

[/] [open8_urisc/] [trunk/] [VHDL/] [async_ser_tx.vhd] - Diff between revs 215 and 216

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 215 Rev 216
Line 51... Line 51...
  Tx_Data                    : in  std_logic_vector(7 downto 0);
  Tx_Data                    : in  std_logic_vector(7 downto 0);
  Tx_Valid                   : in  std_logic;
  Tx_Valid                   : in  std_logic;
  --
  --
  Tx_Out                     : out std_logic;
  Tx_Out                     : out std_logic;
  Tx_Done                    : out std_logic
  Tx_Done                    : out std_logic
 
);
end entity;
end entity;
 
 
architecture behave of async_ser_tx is
architecture behave of async_ser_tx is
 
 
  -- The ceil_log2 function returns the minimum register width required to
  -- The ceil_log2 function returns the minimum register width required to

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.