OpenCores
URL https://opencores.org/ocsvn/open8_urisc/open8_urisc/trunk

Subversion Repositories open8_urisc

[/] [open8_urisc/] [trunk/] [VHDL/] [o8_7seg.vhd] - Diff between revs 244 and 257

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 244 Rev 257
Line 187... Line 187...
        end case;
        end case;
      end if;
      end if;
 
 
      Rd_En_q                <= Rd_En_d;
      Rd_En_q                <= Rd_En_d;
      Rd_Data                <= OPEN8_NULLBUS;
      Rd_Data                <= OPEN8_NULLBUS;
      if( Rd_En = '1' )then
      if( Rd_En_q = '1' )then
        case( Reg_Sel_q )is
        case( Reg_Sel_q )is
          when "00" =>
          when "00" =>
            Rd_Data          <= "000" & LED1_Reg;
            Rd_Data          <= "000" & LED1_Reg;
          when "01" =>
          when "01" =>
            Rd_Data          <= "000" & LED2_Reg;
            Rd_Data          <= "000" & LED2_Reg;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.