Line 23... |
Line 23... |
--
|
--
|
-- VHDL Units : o8_async_serial
|
-- VHDL Units : o8_async_serial
|
-- Description: Provides a single 8-bit, asynchronous transceiver. While the
|
-- Description: Provides a single 8-bit, asynchronous transceiver. While the
|
-- width is fixed at 8-bits, the bit rate and parity controls
|
-- width is fixed at 8-bits, the bit rate and parity controls
|
-- are settable via generics.
|
-- are settable via generics.
|
|
--
|
|
-- Note: The baud rate generator will produce an approximate frequency. The
|
|
-- final bit rate should be within +/- 1% of the true bit rate to
|
|
-- ensure the receiver can successfully receive. With a sufficiently
|
|
-- high core clock, this is generally achievable for common PC serial
|
|
-- data rates.
|
|
|
library ieee;
|
library ieee;
|
use ieee.std_logic_1164.all;
|
use ieee.std_logic_1164.all;
|
use ieee.std_logic_unsigned.all;
|
use ieee.std_logic_unsigned.all;
|
use ieee.std_logic_arith.all;
|
use ieee.std_logic_arith.all;
|
Line 93... |
Line 99... |
|
|
signal CTS_sr : std_logic_vector(3 downto 0) := "0000";
|
signal CTS_sr : std_logic_vector(3 downto 0) := "0000";
|
alias CTS_Okay is CTS_sr(3);
|
alias CTS_Okay is CTS_sr(3);
|
|
|
signal RX_FIFO_Wr_En : std_logic := '0';
|
signal RX_FIFO_Wr_En : std_logic := '0';
|
signal RX_FIFO_Wr_Data : DATA_TYPE;
|
signal RX_FIFO_Wr_Data : DATA_TYPE := x"00";
|
signal RX_FIFO_Rd_En : std_logic;
|
signal RX_FIFO_Rd_En : std_logic := '0';
|
signal RX_FIFO_Empty : std_logic;
|
signal RX_FIFO_Empty : std_logic := '0';
|
signal RX_FIFO_AFull : std_logic;
|
signal RX_FIFO_AFull : std_logic := '0';
|
signal RX_FIFO_Rd_Data : DATA_TYPE;
|
signal RX_FIFO_Rd_Data : DATA_TYPE := x"00";
|
|
|
begin
|
begin
|
|
|
Addr_Match <= '1' when Comp_Addr = User_Addr else '0';
|
Addr_Match <= '1' when Comp_Addr = User_Addr else '0';
|
|
|