URL
https://opencores.org/ocsvn/open8_urisc/open8_urisc/trunk
[/] [open8_urisc/] [trunk/] [VHDL/] [o8_cpu.vhd] - Diff between revs 263 and 264
Go to most recent revision |
Show entire file |
Details |
Blame |
View Log
Rev 263 |
Rev 264 |
Line 253... |
Line 253... |
-- Seth Henry 06/09/20 Added ability to use unsigned index offsets for
|
-- Seth Henry 06/09/20 Added ability to use unsigned index offsets for
|
-- LDO/STO. Also pipelined the address calculation
|
-- LDO/STO. Also pipelined the address calculation
|
-- for indexed instructions, reducing the final
|
-- for indexed instructions, reducing the final
|
-- address generator to a multiplexor fed only by
|
-- address generator to a multiplexor fed only by
|
-- registers.
|
-- registers.
|
|
-- Seth Henry 07/10/20 Fixed a bug in the LDO/LDX logic where the register
|
|
-- pair wasn't being incremented properly due to a
|
|
-- missing UPP2 signal to the ALU.
|
|
|
library ieee;
|
library ieee;
|
use ieee.std_logic_1164.all;
|
use ieee.std_logic_1164.all;
|
use ieee.std_logic_unsigned.all;
|
use ieee.std_logic_unsigned.all;
|
use ieee.std_logic_arith.all;
|
use ieee.std_logic_arith.all;
|
© copyright 1999-2024
OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.