OpenCores
URL https://opencores.org/ocsvn/open8_urisc/open8_urisc/trunk

Subversion Repositories open8_urisc

[/] [open8_urisc/] [trunk/] [VHDL/] [o8_crc16_ccitt.vhd] - Diff between revs 213 and 223

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 213 Rev 223
Line 56... Line 56...
);
);
port(
port(
  Clock                      : in  std_logic;
  Clock                      : in  std_logic;
  Reset                      : in  std_logic;
  Reset                      : in  std_logic;
  --
  --
  Bus_Address                : in  ADDRESS_TYPE;
  Open8_Bus                  : in  OPEN8_BUS_TYPE;
  Wr_Enable                  : in  std_logic;
 
  Wr_Data                    : in  DATA_TYPE;
 
  Rd_Enable                  : in  std_logic;
 
  Rd_Data                    : out DATA_TYPE
  Rd_Data                    : out DATA_TYPE
);
);
end entity;
end entity;
 
 
architecture behave of o8_crc16_ccitt is
architecture behave of o8_crc16_ccitt is
Line 71... Line 68...
  constant Poly_Init         : std_logic_vector(15 downto 0) :=
  constant Poly_Init         : std_logic_vector(15 downto 0) :=
                                (others => '0');
                                (others => '0');
 
 
  constant User_Addr         : std_logic_vector(15 downto 2)
  constant User_Addr         : std_logic_vector(15 downto 2)
                               := Address(15 downto 2);
                               := Address(15 downto 2);
  alias  Comp_Addr           is Bus_Address(15 downto 2);
  alias  Comp_Addr           is Open8_Bus.Address(15 downto 2);
  alias  Reg_Addr            is Bus_Address(1 downto 0);
  alias  Reg_Addr            is Open8_Bus.Address(1 downto 0);
  signal Reg_Sel             : std_logic_vector(1 downto 0) :=
  signal Reg_Sel             : std_logic_vector(1 downto 0) :=
                               (others => '0');
                               (others => '0');
  signal Addr_Match          : std_logic;
  signal Addr_Match          : std_logic;
  signal Wr_En               : std_logic;
  signal Wr_En               : std_logic;
  signal Wr_Data_q           : DATA_TYPE := (others => '0');
  signal Wr_Data_q           : DATA_TYPE := (others => '0');
Line 122... Line 119...
      Data                   <= x"00";
      Data                   <= x"00";
      Reg                    <= x"0000";
      Reg                    <= x"0000";
    elsif( rising_edge(Clock) )then
    elsif( rising_edge(Clock) )then
      Reg_Sel                <= Reg_Addr;
      Reg_Sel                <= Reg_Addr;
 
 
      Wr_En                  <= Addr_Match and Wr_Enable;
      Wr_En                  <= Addr_Match and Open8_Bus.Wr_En;
      Wr_Data_q              <= Wr_Data;
      Wr_Data_q              <= Open8_Bus.Wr_Data;
 
 
      if( Wr_En = '1' )then
      if( Wr_En = '1' )then
        case( Reg_Sel )is
        case( Reg_Sel )is
          when "00" => -- Load next byte
          when "00" => -- Load next byte
            Data             <= Wr_Data_q;
            Data             <= Wr_Data_q;
Line 139... Line 136...
 
 
          when others => null;
          when others => null;
        end case;
        end case;
      end if;
      end if;
 
 
      Rd_En                  <= Addr_Match and Rd_Enable;
      Rd_En                  <= Addr_Match and Open8_Bus.Rd_En;
      Rd_Data                <= OPEN8_NULLBUS;
      Rd_Data                <= OPEN8_NULLBUS;
      if( Rd_En = '1' )then
      if( Rd_En = '1' )then
        case( Reg_Sel )is
        case( Reg_Sel )is
          when "00" => -- Read last byte
          when "00" => -- Read last byte
            Rd_Data          <= Data;
            Rd_Data          <= Data;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.