OpenCores
URL https://opencores.org/ocsvn/open8_urisc/open8_urisc/trunk

Subversion Repositories open8_urisc

[/] [open8_urisc/] [trunk/] [VHDL/] [o8_elapsed_usec.vhd] - Diff between revs 231 and 244

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 231 Rev 244
Line 40... Line 40...
--
--
-- Revision History
-- Revision History
-- Author          Date     Change
-- Author          Date     Change
------------------ -------- ---------------------------------------------------
------------------ -------- ---------------------------------------------------
-- Seth Henry      04/20/20 Design Start
-- Seth Henry      04/20/20 Design Start
 
-- Seth Henry      05/18/20 Added write qualification input
 
 
library ieee;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_1164.all;
  use ieee.std_logic_unsigned.all;
  use ieee.std_logic_unsigned.all;
  use ieee.std_logic_arith.all;
  use ieee.std_logic_arith.all;
Line 56... Line 57...
generic(
generic(
  Address                    : ADDRESS_TYPE
  Address                    : ADDRESS_TYPE
);
);
port(
port(
  Open8_Bus                  : in  OPEN8_BUS_TYPE;
  Open8_Bus                  : in  OPEN8_BUS_TYPE;
 
  Write_Qual                 : in  std_logic := '1';
  Rd_Data                    : out DATA_TYPE
  Rd_Data                    : out DATA_TYPE
);
);
end entity;
end entity;
 
 
architecture behave of o8_elapsed_usec is
architecture behave of o8_elapsed_usec is
Line 69... Line 71...
  alias uSec_Tick            is Open8_Bus.uSec_Tick;
  alias uSec_Tick            is Open8_Bus.uSec_Tick;
 
 
  constant User_Addr         : std_logic_vector(15 downto 2) :=
  constant User_Addr         : std_logic_vector(15 downto 2) :=
                                Address(15 downto 2);
                                Address(15 downto 2);
  alias  Comp_Addr           is Open8_Bus.Address(15 downto 2);
  alias  Comp_Addr           is Open8_Bus.Address(15 downto 2);
  alias  Reg_Addr            is Open8_Bus.Address(1 downto 0);
 
 
 
  signal Addr_Match          : std_logic := '0';
  signal Addr_Match          : std_logic := '0';
  signal Reg_Sel             : std_logic_vector(1 downto 0) := "00";
 
  signal Wr_En               : std_logic := '0';
  alias  Reg_Sel_d           is Open8_Bus.Address(1 downto 0);
 
  signal Reg_Sel_q           : std_logic_vector(1 downto 0) := "00";
 
  signal Wr_En_d             : std_logic := '0';
 
  signal Wr_En_q             : std_logic := '0';
 
  alias  Wr_Data_d           is Open8_Bus.Wr_Data;
  signal Wr_Data_q           : DATA_TYPE := x"00";
  signal Wr_Data_q           : DATA_TYPE := x"00";
  signal Rd_En               : std_logic := '0';
  signal Rd_En_d             : std_logic := '0';
  signal Rd_En_q             : std_logic := '0';
  signal Rd_En_q             : std_logic := '0';
 
 
  signal Shadow_Time         : std_logic_vector(23 downto 0) := x"000000";
  signal Shadow_Time         : std_logic_vector(23 downto 0) := x"000000";
  alias  Shadow_Time_B0      is Shadow_Time( 7 downto  0);
  alias  Shadow_Time_B0      is Shadow_Time( 7 downto  0);
  alias  Shadow_Time_B1      is Shadow_Time(15 downto  8);
  alias  Shadow_Time_B1      is Shadow_Time(15 downto  8);
Line 92... Line 96...
  signal Timer_Cnt           : std_logic_vector(23 downto 0) := x"000000";
  signal Timer_Cnt           : std_logic_vector(23 downto 0) := x"000000";
 
 
begin
begin
 
 
  Addr_Match                 <= '1' when Comp_Addr = User_Addr else '0';
  Addr_Match                 <= '1' when Comp_Addr = User_Addr else '0';
 
  Wr_En_d                    <= Addr_Match and Open8_Bus.Wr_En;
 
  Rd_En_d                    <= Addr_Match and Open8_Bus.Rd_En;
 
 
  io_reg: process( Clock, Reset )
  io_reg: process( Clock, Reset )
  begin
  begin
    if( Reset = Reset_Level )then
    if( Reset = Reset_Level )then
      Reg_Sel                <= "00";
      Reg_Sel_q              <= "00";
      Wr_En                  <= '0';
      Wr_En_q                <= '0';
      Wr_Data_q              <= x"00";
      Wr_Data_q              <= x"00";
      Rd_En                  <= '0';
      Rd_En_q                <= '0';
      Rd_Data                <= OPEN8_NULLBUS;
      Rd_Data                <= OPEN8_NULLBUS;
 
 
      Update_Shadow          <= '0';
      Update_Shadow          <= '0';
      Timer_Reset            <= '0';
      Timer_Reset            <= '0';
      Timer_En_Req           <= '0';
      Timer_En_Req           <= '0';
    elsif( rising_edge( Clock ) )then
    elsif( rising_edge( Clock ) )then
      Reg_Sel                <= Reg_Addr;
      Reg_Sel_q              <= Reg_Sel_d;
 
 
      Wr_En                  <= Addr_Match and Open8_Bus.Wr_En;
      Wr_En_q                <= Wr_En_d;
      Wr_Data_q              <= Open8_Bus.Wr_Data;
      Wr_Data_q              <= Wr_Data_d;
 
 
      Update_Shadow          <= '0';
      Update_Shadow          <= '0';
      Timer_Reset            <= '0';
      Timer_Reset            <= '0';
      if( Wr_En = '1' )then
      if( Wr_En_q = '1' and Write_Qual = '1' )then
        case( Reg_Sel )is
        case( Reg_Sel_q )is
          when "00" =>
          when "00" =>
            Update_Shadow    <= '1';
            Update_Shadow    <= '1';
          when "01" =>
          when "01" =>
            Update_Shadow    <= '1';
            Update_Shadow    <= '1';
          when "10" =>
          when "10" =>
Line 128... Line 135...
          when others => null;
          when others => null;
        end case;
        end case;
      end if;
      end if;
 
 
      Rd_Data                <= OPEN8_NULLBUS;
      Rd_Data                <= OPEN8_NULLBUS;
      Rd_En                  <= Addr_Match and Open8_Bus.Rd_En;
      Rd_En_q                <= Rd_En_d;
      if( Rd_En = '1' )then
      if( Rd_En_q = '1' )then
        case( Reg_Sel )is
        case( Reg_Sel_q )is
          when "00" =>
          when "00" =>
            Rd_Data          <= Shadow_Time_B0;
            Rd_Data          <= Shadow_Time_B0;
          when "01" =>
          when "01" =>
            Rd_Data          <= Shadow_Time_B1;
            Rd_Data          <= Shadow_Time_B1;
          when "10" =>
          when "10" =>

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.