OpenCores
URL https://opencores.org/ocsvn/open8_urisc/open8_urisc/trunk

Subversion Repositories open8_urisc

[/] [open8_urisc/] [trunk/] [VHDL/] [o8_epoch_timer.vhd] - Diff between revs 212 and 213

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 212 Rev 213
Line 42... Line 42...
--   0x5   AAAAAAAA B1 of Current Epoch Time(RO)
--   0x5   AAAAAAAA B1 of Current Epoch Time(RO)
--   0x6   AAAAAAAA B2 of Current Epoch Time(RO)
--   0x6   AAAAAAAA B2 of Current Epoch Time(RO)
--                  Note that any write to 0x04,0x05, or 0x06 will copy the
--                  Note that any write to 0x04,0x05, or 0x06 will copy the
--                  current epoch time to a readable output buffer
--                  current epoch time to a readable output buffer
--   0x7   -------- Epoch Time Latch/Clear Control Register
--   0x7   -------- Epoch Time Latch/Clear Control Register
--                  Any write to 0x7 will clear/reset the timer and compare val
--                  Any write to 0x7 will clear/reset the all timer regs
--
--
-- Revision History
-- Revision History
-- Author          Date     Change
-- Author          Date     Change
------------------ -------- ---------------------------------------------------
------------------ -------- ---------------------------------------------------
-- Seth Henry      07/28/11 Design Start
-- Seth Henry      07/28/11 Design Start
-- Seth Henry      12/19/19 Renamed to "o8_epoch_timer" to fit "theme"
-- Seth Henry      12/19/19 Renamed to "o8_epoch_timer" to fit "theme"
 
-- Seth Henry      04/10/20 Overhauled the register interface of the timer to
 
--                           make the interface more sensible to software.
 
 
library ieee;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_1164.all;
  use ieee.std_logic_unsigned.all;
  use ieee.std_logic_unsigned.all;
  use ieee.std_logic_arith.all;
  use ieee.std_logic_arith.all;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.