OpenCores
URL https://opencores.org/ocsvn/open8_urisc/open8_urisc/trunk

Subversion Repositories open8_urisc

[/] [open8_urisc/] [trunk/] [VHDL/] [o8_epoch_timer_ii.vhd] - Diff between revs 244 and 273

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 244 Rev 273
Line 32... Line 32...
-- Offset  Bitfield Description                        Read/Write
-- Offset  Bitfield Description                        Read/Write
--   0x0   AAAAAAAA B0 of Buffered Setpoint (W) or Current Setpoint(R)
--   0x0   AAAAAAAA B0 of Buffered Setpoint (W) or Current Setpoint(R)
--   0x1   AAAAAAAA B1 of Buffered Setpoint (W) or Current Setpoint(R)
--   0x1   AAAAAAAA B1 of Buffered Setpoint (W) or Current Setpoint(R)
--   0x2   AAAAAAAA B2 of Buffered Setpoint (W) or Current Setpoint(R)
--   0x2   AAAAAAAA B2 of Buffered Setpoint (W) or Current Setpoint(R)
--   0x3   AAAAAAAA B3 of Buffered Setpoint (W) or Current Setpoint(R)
--   0x3   AAAAAAAA B3 of Buffered Setpoint (W) or Current Setpoint(R)
--   0x4   AAAAAAAA B0 of Current Epoch Time(RO)
--   0x4   AAAAAAAA B0 of Buffered Current Epoch Time(RO)
--   0x5   AAAAAAAA B1 of Current Epoch Time(RO)
--   0x5   AAAAAAAA B1 of Buffered Current Epoch Time(RO)
--   0x6   AAAAAAAA B2 of Current Epoch Time(RO)
--   0x6   AAAAAAAA B2 of Buffered Current Epoch Time(RO)
--   0x7   AAAAAAAA B3 of Current Epoch Time(RO)
--   0x7   AAAAAAAA B3 of Buffered Current Epoch Time(RO)
--                  Note that any write to 0x04,0x05, 0x06, or 0x07 will copy
--                  Note that any write to 0x04,0x05, 0x06, or 0x07 will copy
--                   the current epoch time to a readable output buffer
--                   the current epoch time to a readable output buffer
--   0x8   xxxxxxxx (not used - returns 0x00)
--   0x8   -------- (not used - returns 0x00)
--   0x9   xxxxxxxx (not used - returns 0x00)
--   0x9   -------- (not used - returns 0x00)
--   0xA   xxxxxxxx (not used - returns 0x00)
--   0xA   -------- (not used - returns 0x00)
--   0xB   xxxxxxxx (not used - returns 0x00)
--   0xB   -------- (not used - returns 0x00)
--   0xC   xxxxxxxx (not used - returns 0x00)
--   0xC   -------- (not used - returns 0x00)
--   0xD   xxxxxxxx (not used - returns 0x00)
--   0xD   -------- (not used - returns 0x00)
--   0xE   -------- Epoch Time Latch/Clear Control Register
--   0xE   -------- Epoch Time Latch/Clear Control Register
--                  Any write to 0xE will clear/reset the all timer regs
--                  Any write to 0xE will clear/reset the all timer regs
--   0xF   BA------ Status of buffer/alarm (1 = pending, 0 = current)
--   0xF   BA------ Status of buffer/alarm (1 = pending, 0 = current)
--                  A = Pending status (R)
--                  A = Pending status (R)
--                  B = Alarm status (R)
--                  B = Buffer status (R)
--                  Note that any write will update the internal set point
--                  Note that any write will update the internal set point
--                  and clear the alarm
--                  and clear the alarm
--
--
-- Revision History
-- Revision History
-- Author          Date     Change
-- Author          Date     Change
------------------ -------- ---------------------------------------------------
------------------ -------- ---------------------------------------------------
-- Seth Henry      04/15/20 Created from o8_epoch_timer due to requirement
-- Seth Henry      04/15/20 Created from o8_epoch_timer due to requirement
--                           change.
--                           change.
-- Seth Henry      04/16/20 Modifiefd to make use of Open8 bus record
-- Seth Henry      04/16/20 Modifiefd to make use of Open8 bus record
-- Seth Henry      05/18/20 Added write qualification input
-- Seth Henry      05/18/20 Added write qualification input
 
-- Seth Henry      11/01/20 Updated comments regarding buffered current time
 
 
library ieee;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_1164.all;
  use ieee.std_logic_unsigned.all;
  use ieee.std_logic_unsigned.all;
  use ieee.std_logic_arith.all;
  use ieee.std_logic_arith.all;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.