OpenCores
URL https://opencores.org/ocsvn/open8_urisc/open8_urisc/trunk

Subversion Repositories open8_urisc

[/] [open8_urisc/] [trunk/] [VHDL/] [o8_gpin.vhd] - Diff between revs 167 and 189

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 167 Rev 189
Line 1... Line 1...
-- Copyright (c)2013 Jeremy Seth Henry
-- Copyright (c)2006, 2016, 2019 Jeremy Seth Henry
-- All rights reserved.
-- All rights reserved.
--
--
-- Redistribution and use in source and binary forms, with or without
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions are met:
-- modification, are permitted provided that the following conditions are met:
--     * Redistributions of source code must retain the above copyright
--     * Redistributions of source code must retain the above copyright
Line 21... Line 21...
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
--
--
-- VHDL Units :  o8_gpin
-- VHDL Units :  o8_gpin
-- Description:  Provides a single 8-bit input register
-- Description:  Provides a single 8-bit input register
 
--
 
-- Note: Cut the path between GPIN and GPIN_q1 for timing analysis
 
--
 
-- Revision History
 
-- Author          Date     Change
 
------------------ -------- ---------------------------------------------------
 
-- Seth Henry      07/28/11 Design Start
 
-- Seth Henry      12/19/19 Renamed to "o8_gpin" to fit "theme"
 
-- Seth Henry      12/20/19 Added metastability registers
 
 
library ieee;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_1164.all;
 
 
library work;
library work;
Line 51... Line 60...
 
 
  constant User_Addr    : std_logic_vector(15 downto 0) := Address;
  constant User_Addr    : std_logic_vector(15 downto 0) := Address;
  alias  Comp_Addr      is Bus_Address(15 downto 0);
  alias  Comp_Addr      is Bus_Address(15 downto 0);
  signal Addr_Match     : std_logic;
  signal Addr_Match     : std_logic;
  signal Rd_En          : std_logic;
  signal Rd_En          : std_logic;
 
 
 
  signal GPIN_q1        : DATA_TYPE;
 
  signal GPIN_q2        : DATA_TYPE;
  signal User_In        : DATA_TYPE;
  signal User_In        : DATA_TYPE;
 
 
begin
begin
 
 
  Addr_Match            <= Rd_Enable when Comp_Addr = User_Addr else '0';
  Addr_Match            <= Rd_Enable when Comp_Addr = User_Addr else '0';
Line 62... Line 74...
  io_reg: process( Clock, Reset )
  io_reg: process( Clock, Reset )
  begin
  begin
    if( Reset = Reset_Level )then
    if( Reset = Reset_Level )then
      Rd_En             <= '0';
      Rd_En             <= '0';
      Rd_Data           <= x"00";
      Rd_Data           <= x"00";
 
      GPIN_q1           <= x"00";
 
      GPIN_q2           <= x"00";
 
      User_In           <= x"00";
    elsif( rising_edge( Clock ) )then
    elsif( rising_edge( Clock ) )then
      User_In           <= GPIN; -- first stage of double buffer
      GPIN_q1           <= GPIN;
 
      GPIN_q2           <= GPIN_q1;
 
      User_In           <= GPIN_q2;
 
 
      Rd_Data           <= (others => '0');
      Rd_Data           <= (others => '0');
      Rd_En             <= Addr_Match;
      Rd_En             <= Addr_Match;
      if( Rd_En = '1' )then
      if( Rd_En = '1' )then
        Rd_Data         <= User_In;
        Rd_Data         <= User_In;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.