OpenCores
URL https://opencores.org/ocsvn/open8_urisc/open8_urisc/trunk

Subversion Repositories open8_urisc

[/] [open8_urisc/] [trunk/] [VHDL/] [o8_gpout.vhd] - Diff between revs 194 and 213

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 194 Rev 213
Line 1... Line 1...
-- Copyright (c)2013, 2020 Jeremy Seth Henry
-- Copyright (c)2011, 20219, 2020 Jeremy Seth Henry
-- All rights reserved.
-- All rights reserved.
--
--
-- Redistribution and use in source and binary forms, with or without
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions are met:
-- modification, are permitted provided that the following conditions are met:
--     * Redistributions of source code must retain the above copyright
--     * Redistributions of source code must retain the above copyright
Line 22... Line 22...
-- THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
-- THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
--
--
-- VHDL Units :  o8_gpout
-- VHDL Units :  o8_gpout
-- Description:  Provides a single 8-bit GP output register with selectable
-- Description:  Provides a single 8-bit GP output register with selectable
--            :   tri-state control.
--            :   tri-state control.
-- Notes      :  Requires 1 bit from the address bus (two locations).
--
--            :  Sequential instantiations should be separated by 2.
-- Register Map:
 
-- Offset  Bitfield Description                        Read/Write
 
--   0x00  AAAAAAAA Output Register                       (RW)
 
--   0x01  AAAAAAAA Enable/Tri-State Register             (RW)
 
--
 
-- Note that setting a bit to '1' will enable the pin for output, while
 
--  setting it to a '0' will tri-state the pin.
 
--
 
-- Revision History
 
-- Author          Date     Change
 
------------------ -------- ---------------------------------------------------
 
-- Seth Henry      07/28/11 Design Start
 
-- Seth Henry      12/19/19 Renamed to "o8_gpout" to fit "theme"
 
-- Seth Henry      04/10/20 Code Cleanup and comments
 
 
library ieee;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_1164.all;
 
 
library work;
library work;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.