OpenCores
URL https://opencores.org/ocsvn/open8_urisc/open8_urisc/trunk

Subversion Repositories open8_urisc

[/] [open8_urisc/] [trunk/] [VHDL/] [o8_hd44780_8b.vhd] - Diff between revs 217 and 223

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 217 Rev 223
Line 94... Line 94...
port(
port(
  Clock                 : in  std_logic;
  Clock                 : in  std_logic;
  Reset                 : in  std_logic;
  Reset                 : in  std_logic;
  uSec_Tick             : in  std_logic;
  uSec_Tick             : in  std_logic;
  --
  --
  Bus_Address           : in  ADDRESS_TYPE;
  Open8_Bus             : in  OPEN8_BUS_TYPE;
  Wr_Enable             : in  std_logic;
 
  Wr_Data               : in  DATA_TYPE;
 
  Rd_Enable             : in  std_logic;
 
  Rd_Data               : out DATA_TYPE;
  Rd_Data               : out DATA_TYPE;
  Interrupt             : out std_logic;
  Interrupt             : out std_logic;
  --
  --
  LCD_E                 : out std_logic;
  LCD_E                 : out std_logic;
  LCD_RW                : out std_logic;
  LCD_RW                : out std_logic;
Line 114... Line 111...
 
 
architecture behave of o8_hd44780_8b is
architecture behave of o8_hd44780_8b is
 
 
  constant User_Addr         : std_logic_vector(15 downto 2)
  constant User_Addr         : std_logic_vector(15 downto 2)
                               := Address(15 downto 2);
                               := Address(15 downto 2);
  alias  Comp_Addr           is Bus_Address(15 downto 2);
  alias  Comp_Addr           is Open8_Bus.Address(15 downto 2);
  signal Addr_Match          : std_logic := '0';
  signal Addr_Match          : std_logic := '0';
 
 
  alias  Reg_Addr             is Bus_Address(1 downto 0);
  alias  Reg_Addr             is Open8_Bus.Address(1 downto 0);
  signal Reg_Addr_q          : std_logic_vector(1 downto 0) := (others => '0');
  signal Reg_Addr_q          : std_logic_vector(1 downto 0) := (others => '0');
 
 
  signal Wr_En               : std_logic := '0';
  signal Wr_En               : std_logic := '0';
  signal Wr_Data_q           : DATA_TYPE := x"00";
  signal Wr_Data_q           : DATA_TYPE := x"00";
  signal Rd_En               : std_logic := '0';
  signal Rd_En               : std_logic := '0';
Line 207... Line 204...
      LCD_Contrast           <= Default_Contrast;
      LCD_Contrast           <= Default_Contrast;
      LCD_Bright             <= Default_Brightness;
      LCD_Bright             <= Default_Brightness;
    elsif( rising_edge( Clock ) )then
    elsif( rising_edge( Clock ) )then
      Reg_Addr_q             <= Reg_Addr;
      Reg_Addr_q             <= Reg_Addr;
 
 
      Wr_Data_q              <= Wr_Data;
      Wr_Data_q              <= Open8_Bus.Wr_Data;
      Wr_En                  <= Addr_Match and Wr_Enable;
      Wr_En                  <= Addr_Match and Open8_Bus.Wr_En;
 
 
      Reg_Valid              <= '0';
      Reg_Valid              <= '0';
 
 
      if( Wr_En = '1' )then
      if( Wr_En = '1' )then
        case( Reg_Addr_q )is
        case( Reg_Addr_q )is
Line 227... Line 224...
          when others => null;
          when others => null;
        end case;
        end case;
      end if;
      end if;
 
 
      Rd_Data                <= OPEN8_NULLBUS;
      Rd_Data                <= OPEN8_NULLBUS;
      Rd_En                  <= Addr_Match and Rd_Enable;
      Rd_En                  <= Addr_Match and Open8_Bus.Rd_En;
      if( Rd_En = '1' )then
      if( Rd_En = '1' )then
        case( Reg_Addr_q )is
        case( Reg_Addr_q )is
          when "00" | "01" =>
          when "00" | "01" =>
            Rd_Data(7)       <= Tx_Ready;
            Rd_Data(7)       <= Tx_Ready;
          when "10" =>
          when "10" =>

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.